circuitpython/shared/runtime/pyexec.c

Ignoring revisions in .git-blame-ignore-revs. Click here to bypass and see the normal blame view.

804 lines
27 KiB
C
Raw Permalink Normal View History

/*
2016-12-20 14:20:08 -05:00
* This file is part of the MicroPython project, http://micropython.org/
*
* The MIT License (MIT)
*
2023-08-03 23:29:00 -04:00
* Copyright (c) 2013, 2014 Damien P. George
*
* Permission is hereby granted, free of charge, to any person obtaining a copy
* of this software and associated documentation files (the "Software"), to deal
* in the Software without restriction, including without limitation the rights
* to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
* copies of the Software, and to permit persons to whom the Software is
* furnished to do so, subject to the following conditions:
*
* The above copyright notice and this permission notice shall be included in
* all copies or substantial portions of the Software.
*
* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
* OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
* THE SOFTWARE.
*/
2014-03-12 21:06:26 -04:00
#include <stdlib.h>
#include <stdio.h>
#include <stdint.h>
#include <string.h>
2014-03-12 21:06:26 -04:00
#include "py/mphal.h"
#include "py/compile.h"
#include "py/runtime.h"
#include "py/repl.h"
#include "py/gc.h"
#include "py/frozenmod.h"
#include "py/mphal.h"
#if defined(MICROPY_HW_ENABLE_USB) && MICROPY_HW_ENABLE_USB
#include "irq.h"
#include "usb.h"
#endif
#include "shared/readline/readline.h"
#include "shared/runtime/pyexec.h"
#include "genhdr/mpversion.h"
2014-03-12 21:06:26 -04:00
// CIRCUITPY-CHANGE: multiple changes for atexit(), interrupts
#if CIRCUITPY_ATEXIT
#include "shared-module/atexit/__init__.h"
#endif
2014-03-24 08:23:37 -04:00
pyexec_mode_kind_t pyexec_mode_kind = PYEXEC_MODE_FRIENDLY_REPL;
int pyexec_system_exit = 0;
#if MICROPY_REPL_INFO
2014-03-24 08:23:37 -04:00
STATIC bool repl_display_debugging_info = 0;
#endif
2014-03-12 21:06:26 -04:00
#define EXEC_FLAG_PRINT_EOF (1 << 0)
#define EXEC_FLAG_ALLOW_DEBUGGING (1 << 1)
#define EXEC_FLAG_IS_REPL (1 << 2)
#define EXEC_FLAG_SOURCE_IS_RAW_CODE (1 << 3)
#define EXEC_FLAG_SOURCE_IS_VSTR (1 << 4)
#define EXEC_FLAG_SOURCE_IS_FILENAME (1 << 5)
#define EXEC_FLAG_SOURCE_IS_READER (1 << 6)
Merge tag 'v1.18' Boosted performance, board.json metadata, more mimxrt, rp2, samd features This release of MicroPython sees a boost to the overall performance of the VM and runtime. This is achieved by the addition of an optional cache to speed up general hash table lookups, as well as a fast path in the VM for the LOAD_ATTR opcode on instance types. The new configuration options are MICROPY_OPT_MAP_LOOKUP_CACHE and MICROPY_OPT_LOAD_ATTR_FAST_PATH. As part of this improvement the MICROPY_OPT_CACHE_MAP_LOOKUP_IN_BYTECODE option has been removed, which provided a similar map caching mechanism but with the cache stored in the bytecode, which made it not useful on bare metal ports. The new mechanism is measured to be at least as good as the old one, applies to more map lookups, has a constant RAM overhead, and applies to native code as well as bytecode. These performance options are enabled on the esp32, mimxrt, rp2, stm32 and unix ports. For esp32 and mimxrt some code is also moved to RAM to further boost performance. On stm32, performance increases by about 20% for benchmarks that are heavy on name lookups, like misc_pystone.py and misc_raytrace.py. On esp32 performance can increase by 2-3x, and on mimxrt it is up to 6x. All boards in all ports now have a board.json metadata file, which is used to automatically build firmware and generate a webpage for that board (among other possibilities). Auto-build scripts have been added for this purpose and they build all esp32, mimxrt, rp2, samd and stm32 boards. The generated output is available at https://micropython.org/download. Support for FROZEN_DIR and FROZEN_MPY_DIR has been deprecated for some time and was finally removed in this release. Instead of these, FROZEN_MANIFEST can be used. The io.resource_stream() function is also removed, replaced by the pure Python version in micropython-lib. The search order for importing frozen Python modules is now controlled by the ".frozen" entry in sys.path. This string is added by default in the second position in sys.path. User code should adjust sys.path depending on the desired behaviour. Putting ".frozen" first in sys.path will speed up importing frozen modules. A bug in multiple precision integers with bitwise of -0 was fixed in commit 2c139bbf4e5724ab253b5b034ce925e04267a9c4. The platform module has been added to allow querying the compiler and underlying SDK/HAL/libc version. This is enabled on esp32, mimxrt and stm32 ports. The mpremote tool now supports seek, flush, mkdir and rmdir on PC-mounted filesystems. And a help command has been added. The documentation has seen many additions and improvements thanks (for a second time) to the Google Season of Docs project. The rp2 documentation now includes a reference for PIO assembly instructions, a PIO quick reference and a PIO tutorial. The random and stm modules have been documented, along with sys.settrace, manifest.py files and mpremote. There is also now more detail about the differences between MicroPython and standard Python 3.5 and above. The esp32 port sees support for ESP32-S3 SoCs, and new boards GENERIC_S3, ESP32_S2_WROVER, LOLIN_S2_MINI, LOLIN_S2_PICO and UM_FEATHERS2NEO. The PWM driver has been improved and now supports all PWM timers and channels, and the duty_u16() and duty_ns() methods, and it keeps the duty constant when changing frequency. The machine.bitstream() function has been improved to use RMT, with an option to select the original bit-banging implementation. The mimxrt port gained new hardware features: SDRAM and SD card support, as well as network integration with a LAN driver. The machine.WDT class was added along with the machine.reset_cause(), machine.soft_reset(), machine.unique_id() add machine.bitstream() functions. DHT sensor support was added, and f-strings were enabled. The rp2 port now has support for networking, and bluetooth using NimBLE. The Nina-W10 WiFi/BT driver is fully integrated and supported by the new Arduino Nano RP2040 connect board. I2S protocol support is added along with a machine.bitstream() driver and DHT sensor support. The PWM driver had a bug fix with the accuracy of setting/getting the frequency, and the duty value is now retained when changing the frequency. On the samd port there is now support for the internal flash being a block device, and for filesystems and the os module. Pin and LED classes have been implemented. There are more time functions, more Python features enabled, and the help() function is added. SEEED_WIO_TERMINAL and SEEED_XIAO board definitions are now available. The stm32 port now has support for F427, F479 and H7A3(Q)/H7B3(Q) MCUs, and new board definitions for VCC_GND_H743VI, OLIMEX_H407, MIKROE_QUAIL, GARATRONIC_PYBSTICK26_F411, STM32H73B3I_DK. A bug was fixed in the SPI driver where a SPI transfer could fail if the CYW43 WiFi driver was also active at the same time. On the windows port the help() function has been enabled, and support for build variants added, to match the unix port. The zephyr port upgraded Zephyr to v2.7.0. The change in code size since the previous release for various ports is (absolute and percentage change in the text section): bare-arm: -1520 -2.605% minimal x86: -2256 -1.531% unix x64: -457 -0.089% unix nanbox: -925 -0.204% stm32: +312 +0.079% PYBV10 cc3200: -176 -0.096% esp8266: +532 +0.076% GENERIC esp32: +27096 +1.820% GENERIC nrf: -212 -0.121% pca10040 rp2: +9904 +2.051% PICO samd: +35332 +33.969% ADAFRUIT_ITSYBITSY_M4_EXPRESS The changes that dominate these numbers are: - bare-arm, minimal: use of new MICROPY_CONFIG_ROM_LEVEL_MINIMUM option and subsequent disabling of remaining optional features - unix, cc3200, nrf: general code size reductions of the core - stm32: performance improvements, addition of platform module - esp8266: enabling f-strings - esp32: use of -O2 instead of -Os - rp2: machine.I2S and other new hardware features - samd: filesystem support and other new hardware features Thanks to everyone who contributed to this release: Alan Dragomirecký, Alexey Shvetsov, Andrew Leech, Andrew Scheller, Antoine Aubert, Boris Vinogradov, Chris Boudacoff, Chris Fiege, Christian Decker, Damien George, Daniel Gorny, Dave Hylands, David Michieli, Emilie Feral, Frédéric Pierson, gibbonsc, Henk Vergonet, iabdalkader, Ihor Nehrutsa, Jan Hrudka, Jan Staal, jc_.kim, Jim Mussared, Jonathan Hogg, Laurens Valk, leo chung, Lorenzo Cappelletti, Magnus von Wachenfeldt, Matt Trentini, Matt van de Werken, Maureen Helm, Michael Bentley, Michael Buesch, Mike Causer, Mike Teachman, Mike Wadsten, Ned Konz, NitiKaur, oli, patrick, Patrick Van Oosterwijck, Peter Boin, Peter Hinch, Peter van der Burg, Philipp Ebensberger, Pooya Moradi, retsyo, robert-hh, roland van straten, Scott Armitage, Sebastian Wicki, Seon Rozenblum, Sergei Silnov, Simon Baatz, Stewart Bonnick, stijn, Tobias Thyrrestrup, Tomas Vanek, YoungJoon Chun. What follows is a detailed list of changes, generated from the git commit history, and organised into sections. Main components =============== all: - remove MICROPY_OPT_CACHE_MAP_LOOKUP_IN_BYTECODE - update Python formatting to latest Black version 21.12b0 - remove support for FROZEN_DIR and FROZEN_MPY_DIR py core: - parse: simplify parse nodes representing a list - emitnative: ensure load_subscr does not clobber existing REG_RET - mpconfig.h: define initial templates for "feature levels" - vm: add a fast path for LOAD_ATTR on instance types - map: add an optional cache of (map+index) to speed up map lookups - builtinimport: forward all debug printing to MICROPY_DEBUG_PRINTER - add wrapper macros so hot VM functions can go in fast code location - runtime: fix crash when exc __new__ doesn't return an exc instance - mpconfig.h: define the "extra" feature level - mpconfig.h: revert MICROPY_REPL_INFO to disabled at all levels - gc: add hook to run code during time consuming GC operations - showbc: print unary-op string when dumping bytecode - modsys: replace non-ASCII quote char with ASCII char - runtime: allow types to use both .attr and .locals_dict - lexer: support nested [] and {} characters within f-string params - objfun.h: remove obsolete comments about entries in extra_args - builtinimport: refactor module importing - showbc: fix printing of raw bytecode header on nanbox builds - modio: remove io.resource_stream function - only search frozen modules when '.frozen' is found in sys.path - mkrules.cmake: set frozen preprocessor defs early - runtime: allow initialising sys.path/argv with defaults - mpstate.h: only include sys.path/argv objects in state when enabled - mpz: fix bugs with bitwise of -0 by ensuring all 0's are positive - qstr: reset mpstate.qstr_last_chunk before raising an error - modbuiltins: add additional macro for extending builtins - mpconfig.h: define MICROPY_PY_USSL_FINALISER only if not defined extmod: - machine_i2c: make SoftI2C configurable via macro option - machine_spi: make SoftSPI configurable via macro option - modonewire: make _onewire module configurable via macro option - machine_pwm: factor out machine.PWM bindings to common code - move modnetwork and modusocket from stm32 to extmod - modnetwork: add STA_IF and AP_IF constants - modnetwork: add extended socket state - modusocket: add read/write stream methods to socket object - modnetwork: define network interfaces in port config files - network_cyw43: make consistent use of STA and AP constants - modnetwork: remove STM32 references - modnetwork: remove modnetwork socket u_state member - mpbthci.h: add mp_bluetooth_hci_uart_any prototype - nimble: add nimble CMake fragment file - add platform module - moduplatform: improve implementation for PC ports - vfs_posix_file: support MP_STREAM_POLL in vfs_posix_file_ioctl - modbluetooth: add connection interval to gap_connect - nimble: update to NimBLE v1.4 - nimble: remove workaround for OS_ENOMEM - uasyncio: fix gather returning exceptions from a cancelled task - uplatform: remove unused definitions - uplatform: use generic custom platform string - network_ninaw10: fix scan list order to match other NICs - modbluetooth: support gap_connect(None) to cancel a connection - modure: redirect regex debug printing to mp_printf - network_ninaw10: fix config of AP mode - network_ninaw10: disable active connections before connecting - network_ninaw10: make NIC state persistent - network_ninaw10: return -1 on timeout from recv/send - network_ninaw10: make recv/recvfrom interchangeable - moduplatform: detect xtensa arch - modusocket: allow setting timeout on unbound sockets - modusocket: initialise accepted socket state - network_ninaw10: use socket timeout preset in modusocket - modbluetooth: fix conditional compilation of ringbuf_put_uuid - modbluetooth: put declaration of connect_cancel in correct place shared: - libc/string0: don't include string.h, and provide __memcpy_chk - runtime/pyexec: cleanup EXEC_FLAG flag constants drivers: - ninaw10: add ublox Nina-W10 WiFi/BT module driver - lsm6dsox: add LSM6DSOX driver and examples - neopixel: avoid heap alloc in fill() - ninaw10: fix BSSID byte order, and add null byte to ESSID - ninaw10/nina_wifi_drv: fix DNS resolution mpy-cross: no changes specific to this component/port lib: - mynewt-nimble: switch to the MicroPython fork of NimBLE - asf4: point submodule to latest commit on circuitpython branch - update pico-sdk to 1.3.0 and tinyusb to 0.12.0 - stm32lib: update library for L4 v1.17.0, new G4, WL, and MMC fixes - stm32lib: update library for fix to F7 USB HS Support components ================== docs: - library/os.rst: clarify littlefs requirements for block erase - library/bluetooth.rst: update incorrect link to gatts_write - make.bat: change Windows output dir from '_build' to 'build' - library/machine.I2S.rst: specify that I2S.shift args are kw-only - esp32: explain ESP32 PWM modes, timers, and channels - rp2: add reference for PIO assembly instructions, and PIO tutorial - library/random.rst: document the random module - reference/mpremote.rst: add docs for mpremote - reference/manifest.rst: add docs for manifest.py files - library/stm.rst: document the stm module - esp32/tutorial: add an example of peripheral control via regs - rp2/general.rst: fix typo with missing spaces - library/framebuf.rst: adjust dimensions in example - library/rp2.rst: update function asm_pio_encode to add sideset_opt - reference/filesystem.rst: add detail on how to use littlefs fuse - rp2/quickref.rst: add section on PIO - library/sys.rst: add docs for sys.settrace - esp8266/tutorial: fix comments of FrameBuffer examples - library/uasyncio.rst: detail exception behaviour in cancel/timeout - library/machine.Timer.rst: document 'id' as positional-only arg - library/machine.SPI.rst: add example SPI usage - library/machine.Timer.rst: document `period` and `callback` args - library/machine.Pin.rst: add Pin.ANALOG mode constant - remove trailing spaces and convert tabs to spaces - library/sys.rst: add note about '.frozen' as an entry in sys.path - differences: document details of new PEPs/features in Python 3.5+ - update copyright year range to include 2022 - esp32: update RMT quickref example to match latest code examples: no changes specific to this component/port tests: - perf_bench: use math.log instead of math.log2 - basics: add tests for type-checking subclassed exc instances - micropython/const.py: add comment about required config for test - cpydiff: clarify f-string diffs regarding concatenation - basics/int_big_cmp.py: add more tests for big-int comparison - extmod: skip uselect_poll_udp when poll() is not available tools: - autobuild: add auto build for GENERIC_C3_USB - ci.sh: use IDF v4.4 as part of esp32 CI and build GENERIC_S3 - autobuild: add the MIMXRT1010_EVK board to autobuild - ci.sh: use a specific ESP IDF v4.4 commit - autobuild: add script to generate website board metadata - dfu.py: make tool work with python3 when parsing DFU files - autobuild: automatically build all mimxrt, rp2 and samd boards - autobuild: automatically build all stm32 boards - mpremote: implement seek and flush in ioctl method - autobuild: automatically build all esp32 boards - upip.py: support == to specify exact package version - makemanifest.py: make str conversion compatible with Python 2 - makemanifest.py: merge make-frozen.py - mpremote: add mkdir and rmdir to RemoteFS - mpremote: add help command - mpremote: add link to mpremote docs URL in help message - upip.py: skip '.frozen' entry in sys.path for install path - autobuild: build esp8266 OTA image with GENERIC_1M board - ci.sh: upgrade Zephyr docker image to v0.21.0 - ci.sh: build zephyr nucleo_wb55rg to test zephyr bluetooth build CI: - workflows: use Python 3.8 for macos workflow - workflows: add new workflow to build ports download metadata The ports ========= all ports: - add board.json for all boards - add images, features and urls to board.json - add '.frozen' as the first entry in sys.path - move '.frozen' to second entry in sys.path bare-arm port: - mpconfigport.h: use MICROPY_CONFIG_ROM_LEVEL_MINIMUM - mpconfigport.h: disable remaining optional features cc3200 port: no changes specific to this component/port esp8266 port: - boards/GENERIC: enable f-strings - extract qstr from object when comparing keys in config() - etshal.h: remove unneeded function declarations - allow building a board to any dest directory esp32 port: - boards: add new FeatherS2-Neo board definition - machine_timer: use tx_update member for IDF 4.4 and above - add support for ESP32-S3 SoCs - boards: add new GENERIC_S3 board definition - machine_hw_spi: fix hardware SPI DMA channels for S2/S3 - boards: add board definition for ESP32-S2-WROVER module - boards: add LOLIN_S2_MINI ESP32-S2 board - machine_pwm: add support for all PWM timers and channels - README: updated readme with req IDF vers for ESP32-S2, C3 and S3 - usb: add USB host connection detection for CDC serial output - machine_pin: block out IO16 and IO17 when using SPIRAM on ESP32 - mpthreadport: fix TCB cleanup function so thread_mutex is ready - main: add option for a board to hook code into startup sequence - split out WLAN code from modnetwork.c to network_wlan.c - enable optimisations and move code to iRAM to boost performance - usb: improve speed of USB CDC output - add specific deploy_s2.md instructions for esp32-s2 - boards/LOLIN_S2_MINI: add image to board.json - boards: update board and deploy metadata for UM_xxx boards - usb: further improve speed of USB CDC output - boards/LOLIN_S2_PICO: add LOLIN_S2_PICO board definition files - boards/ESP32_S2_WROVER: link to specific deploy_s2 instructions - support building with latest IDF v5 - in machine_i2s, send null samples in underflow situations - in machine_i2s, make object reference arrays root pointers - add SDCard support for S3, and a GENERIC_S3_SPIRAM board - boards/GENERIC_S3: enable BLE on ESP32 S3 - machine_pwm: implement duty_u16() and duty_ns() PWM methods - extract qstr from object when comparing keys in config() - machine_pin: make GPIO 26 usable for S2,S3 if SPIRAM not config'd - machine_hw_spi: fix SPI default pins reordering on ESP32-S2/S3 - machine_hw_spi: set proper default SPI(id=1) pins on S2,S3 and C3 - machine_hw_spi: set proper default SPI(id=2) pins on S2 and S3 - boards: remove SPI pin defaults from GENERIC S2/S3 boards - modnetwork: synchronize WiFi AUTH_xxx constants with IDF values - machine_pwm: keep duty constant when changing frequency - machine_bitstream: replace bit-bang code with RMT-based driver - machine_i2s: add support for ESP-IDF 4.4 - machine_bitstream: fix signal duplication on output pins - esp32: enable platform module with IDF version - boards/GENERIC_D2WD: build with -Os optimisation - esp32_rmt: install RMT driver on core 1 - machine_bitstream: reinstate bitstream bit-bang implementation javascript port: no changes specific to this component/port mimxrt port: - sdcard: implement SDCard driver - machine_bitstream: add bitstream function to machine module - rework flash configuration - sdram: add SDRAM support - eth: add LAN support and integrate the network module - modmachine: implement machine.WDT() and machine.reset_cause() - boards: fix the D14/D15 pin assignment of MIMXRT1050/60/64_EVK - hal: remove duplicate definitions from flexspi_hyper_flash.h - dma_channel: fix the DMA channel management - fix cycle counter for time.ticks_cpu() and machine.bitstream() - add dht_readinto() to the mimxrt module, and freeze dht.py - extend the help() message and README.md - mpconfigport.h: enable f-strings - modmachine: implement soft_reset() and unique_id() functions - boards/make-pins.py: allow empty lines and comments in pins.csv - optimize the runtime speed - enable the platform module - boards: add the Seeed ARCH MIX board - boards: update the board.json files and add deploy_xx.md files - fix mp_hal_quiet_timing_enter()/exit() so timer still runs - support PWM using the FLEXPWM and QTMR modules - define UART 0 on MIMXRT boards - support selection of PHY type and address - re-enable eth checksum creation by HW - fix a tiny unnoticed bug in sdcard.c - add a driver for the DP83848 PHY device - refactor the reading of the machine id - enable ticks_cpu at boot time for NDEBUG builds only - use -Og instead of -O0 for DEBUG builds - tidy up the board flash related files - hal: allow readSampleClkSrc to be configured by a board - enable MICROPY_PY_USSL_FINALISER minimal port: - mpconfigport.h: use MICROPY_CONFIG_ROM_LEVEL_MINIMUM - Makefile: don't force a 32-bit build - mpconfigport.h: disable features that are not needed nrf port: - Makefile: improve Black Magic Probe commands - main: use VFS helper function to mount fs and chdir pic16bit port: no changes specific to this component/port powerpc port: no changes specific to this component/port qemu-arm port: no changes specific to this component/port rp2 port: - mpconfigport.h: enable heapq module - add support for bluetooth module using NimBLE - add framework for networking - mpconfigport.h: use the "extra" feature level - enable optimisations (comp goto, map cache, fast attr) - machine_i2s: add I2S protocol support - add support for Nina-W10 WiFi/BT module - boards: add support for Arduino Nano RP2040 - machine_bitstream: implement the machine.bitstream driver - boards: add neopixel.py to manifest.py - rp2_pio: support exec with sideset - boards/PIMORONI_PICOLIPO_16MB: fix 16MB flash size - boards: add PYBSTICK26 RP2040 board definition - machine_uart: handle and clear UART RX timeout IRQ - boards/ARDUINO_NANO_RP2040_CONNECT: set default I2C pins - machine_pwm: fix PWM frequency setting - machine_pwm: keep duty value when changing the frequency - add support for DHT11 and DHT22 sensors - CMakeLists.txt: allow a board to override PICO_BOARD - boards/GARATRONIC_PYBSTICK26_RP2040: use correct pico-sdk board cfg samd port: - integrate latest asf4, add help, more time funcs and uPy features - samd_soc: allow a board to configure the low-level MCU config - add internal flash block device, filesystem and uos support - add Pin and LED classes, and machine.unique_id - boards/ADAFRUIT_FEATHER_M0_EXPRESS: update for flash and pins - boards/ADAFRUIT_ITSYBITSY_M4_EXPRESS: update for flash and pins - boards/MINISAM_M4: update for flash and pins - boards/ADAFRUIT_TRINKET_M0: update for flash and pins - boards/SAMD21_XPLAINED_PRO: update for flash and pins - boards/SEEED_WIO_TERMINAL: add new board definition - boards/SEEED_XIAO: add new board definition - README.md: update README to reflect new features and boards stm32 port: - pin: enable GPIO clock of pin if it's constructed without init - main: don't unconditionally enable GPIO A,B,C,D clocks - boards/VCC_GND_H743VI: add board definition for VCC_GND_H743VI - boards/OLIMEX_E407: add Ethernet RMII support - boards/LEGO_HUB_NO6: remove user paths from cc2564 init file - boards: remove trailing spaces, and add newline at end of file - add basic support for STM32H750 - add support for H7A3(Q)/H7B3(Q), and STM32H73B3I_DK board defn - suggest putting code in main.py not boot.py - boards/make-pins.py: allow a CPU pin to be hidden - boards/make-pins.py: allow empty lines and comments in pins.csv - dma: add functions for external users of DMA to enable clock - enable LOAD_ATTR fast path, and map lookup caching on >M0 - boards: add OLIMEX H407 board definition - enable platform module - extended flash filesystem space to 512K on H743 boards - boards/NUCLEO_H743ZI: enable VfsLfs2 on NUCLEO_H743ZI(2) boards - boards: add PF11-BOOT0 to stm32f091_af.csv - machine_i2c: use hardware I2C for STM32H7 - sdram: enforce gcc opt, and use volatile and DSB in sdram_test - usbd_cdc_interface: allow a board to hook into USBD CDC RX events - mpbthciport: allow a board to hook BT HCI poll functions - pendsv: allow a board to add entries for pendsv_schedule_dispatch - boards: add images to board.json for Adafruit and VCC_GND boards - uart: fix race conditions and clearing status in IRQ handler - mpconfigport.h: use the "extra" feature level - in machine_i2s, send null samples in underflow situations - in machine_i2s, make object reference arrays root pointers - led: support an extra 2 LEDs in board configuration - boards/MIKROE_CLICKER2_STM32: add more detail to board.json - boards: add new board MikroElektronika Quail, and F427 support - main: run optional frozen module at boot - sdio: don't explicitly disable DMA2 on deinit of SDIO - dma: make DMA2_Stream3 exclusive to SDIO when CYW43 enabled - boards: build NUCLEO_WB55 and STM32F769DISC without mboot enabled - boards: add PYBSTICK26 F411 board definition - boards/NADHAT_PYBF405: rename board to GARATRONIC_NADHAT_F405 - usb: use a table of allowed values to simplify usb_mode get/set - boards/NUCLEO_WB55: update rfcore_firmwre for new WS - flashbdev: support generic flash storage config via link symbols - boards: convert F413,F439,H743,L4xx,WB55 to new flash FS config - add support for F479 MCUs - include HAL MMC code in F4 builds - boards/make-pins.py: use cpu pins to define static alt-fun macros - boards/NUCLEO_WB55: fix LED ordering - boards/LEGO_HUB_NO6: set filesystem label as HUB_NO6 - boards: remove stray '+' characters at start of lines in ld files - boards: remove unused MICROPY_HW_ENABLE_TIMER config - boards: enable MICROPY_HW_ENABLE_SERVO on various boards - update L4 code to build with latest stm32lib and L4 HAL 1.17.0 - main: call sdcard_init when only MICROPY_HW_ENABLE_MMCARD enabled - sdcard: support 8-bit wide SDIO bus - sdcard: add config option to force MM card capacity - factoryreset: init vfs flags before calling pyb_flash_init_vfs - qspi: fix typo in address comment - boards/make-pins.py: generate empty ADC table if needed - boards/OLIMEX_H407: fix typo in OLIMEX H407 board.json - network_wiznet5k: fix build error with wiznet5k and lwip enabled - enable MICROPY_PY_USSL_FINALISER teensy port: - switch to use manifest.py instead of FROZEN_DIR unix port: - enable LOAD_ATTR fast path, and map lookup caching - modusocket: support MP_STREAM_POLL in unix socket_ioctl - modos: add support for uos.urandom(n) - coverage: change remaining printf to mp_printf - Makefile: use -Og instead of -O0 for debug builds windows port: - README: remove unsupported Python instructions for Cygwin - mpconfigport.h: enable help and help("modules") - add support for build variants to windows port - run tests via Makefile - appveyor: build both standard and dev variants - appveyor: build mpy-cross only once for mingw-w64 - msvc: run qstr preprocessing phase in parallel zephyr port: - mphalport.h: remove unused and unimplemented C-level pin API - increase minimum CMake version to 3.20.0 - update include path to reboot.h - get UART console device from devicetree instead of Kconfig - use CONFIG_USB_DEVICE_STACK for conditional USB device support - upgrade to Zephyr v2.7.0 - modbluetooth_zephyr: provide dummy connect_cancel function
2022-02-15 13:36:26 -05:00
#define EXEC_FLAG_SOURCE_IS_ATEXIT (1 << 7)
2014-03-12 21:06:26 -04:00
// parses, compiles and executes the code in the lexer
// frees the lexer before returning
// EXEC_FLAG_PRINT_EOF prints 2 EOF chars: 1 after normal output, 1 after exception output
// EXEC_FLAG_ALLOW_DEBUGGING allows debugging info to be printed after executing the code
// EXEC_FLAG_IS_REPL is used for REPL inputs (flag passed on to mp_compile)
Merge tag 'v1.18' Boosted performance, board.json metadata, more mimxrt, rp2, samd features This release of MicroPython sees a boost to the overall performance of the VM and runtime. This is achieved by the addition of an optional cache to speed up general hash table lookups, as well as a fast path in the VM for the LOAD_ATTR opcode on instance types. The new configuration options are MICROPY_OPT_MAP_LOOKUP_CACHE and MICROPY_OPT_LOAD_ATTR_FAST_PATH. As part of this improvement the MICROPY_OPT_CACHE_MAP_LOOKUP_IN_BYTECODE option has been removed, which provided a similar map caching mechanism but with the cache stored in the bytecode, which made it not useful on bare metal ports. The new mechanism is measured to be at least as good as the old one, applies to more map lookups, has a constant RAM overhead, and applies to native code as well as bytecode. These performance options are enabled on the esp32, mimxrt, rp2, stm32 and unix ports. For esp32 and mimxrt some code is also moved to RAM to further boost performance. On stm32, performance increases by about 20% for benchmarks that are heavy on name lookups, like misc_pystone.py and misc_raytrace.py. On esp32 performance can increase by 2-3x, and on mimxrt it is up to 6x. All boards in all ports now have a board.json metadata file, which is used to automatically build firmware and generate a webpage for that board (among other possibilities). Auto-build scripts have been added for this purpose and they build all esp32, mimxrt, rp2, samd and stm32 boards. The generated output is available at https://micropython.org/download. Support for FROZEN_DIR and FROZEN_MPY_DIR has been deprecated for some time and was finally removed in this release. Instead of these, FROZEN_MANIFEST can be used. The io.resource_stream() function is also removed, replaced by the pure Python version in micropython-lib. The search order for importing frozen Python modules is now controlled by the ".frozen" entry in sys.path. This string is added by default in the second position in sys.path. User code should adjust sys.path depending on the desired behaviour. Putting ".frozen" first in sys.path will speed up importing frozen modules. A bug in multiple precision integers with bitwise of -0 was fixed in commit 2c139bbf4e5724ab253b5b034ce925e04267a9c4. The platform module has been added to allow querying the compiler and underlying SDK/HAL/libc version. This is enabled on esp32, mimxrt and stm32 ports. The mpremote tool now supports seek, flush, mkdir and rmdir on PC-mounted filesystems. And a help command has been added. The documentation has seen many additions and improvements thanks (for a second time) to the Google Season of Docs project. The rp2 documentation now includes a reference for PIO assembly instructions, a PIO quick reference and a PIO tutorial. The random and stm modules have been documented, along with sys.settrace, manifest.py files and mpremote. There is also now more detail about the differences between MicroPython and standard Python 3.5 and above. The esp32 port sees support for ESP32-S3 SoCs, and new boards GENERIC_S3, ESP32_S2_WROVER, LOLIN_S2_MINI, LOLIN_S2_PICO and UM_FEATHERS2NEO. The PWM driver has been improved and now supports all PWM timers and channels, and the duty_u16() and duty_ns() methods, and it keeps the duty constant when changing frequency. The machine.bitstream() function has been improved to use RMT, with an option to select the original bit-banging implementation. The mimxrt port gained new hardware features: SDRAM and SD card support, as well as network integration with a LAN driver. The machine.WDT class was added along with the machine.reset_cause(), machine.soft_reset(), machine.unique_id() add machine.bitstream() functions. DHT sensor support was added, and f-strings were enabled. The rp2 port now has support for networking, and bluetooth using NimBLE. The Nina-W10 WiFi/BT driver is fully integrated and supported by the new Arduino Nano RP2040 connect board. I2S protocol support is added along with a machine.bitstream() driver and DHT sensor support. The PWM driver had a bug fix with the accuracy of setting/getting the frequency, and the duty value is now retained when changing the frequency. On the samd port there is now support for the internal flash being a block device, and for filesystems and the os module. Pin and LED classes have been implemented. There are more time functions, more Python features enabled, and the help() function is added. SEEED_WIO_TERMINAL and SEEED_XIAO board definitions are now available. The stm32 port now has support for F427, F479 and H7A3(Q)/H7B3(Q) MCUs, and new board definitions for VCC_GND_H743VI, OLIMEX_H407, MIKROE_QUAIL, GARATRONIC_PYBSTICK26_F411, STM32H73B3I_DK. A bug was fixed in the SPI driver where a SPI transfer could fail if the CYW43 WiFi driver was also active at the same time. On the windows port the help() function has been enabled, and support for build variants added, to match the unix port. The zephyr port upgraded Zephyr to v2.7.0. The change in code size since the previous release for various ports is (absolute and percentage change in the text section): bare-arm: -1520 -2.605% minimal x86: -2256 -1.531% unix x64: -457 -0.089% unix nanbox: -925 -0.204% stm32: +312 +0.079% PYBV10 cc3200: -176 -0.096% esp8266: +532 +0.076% GENERIC esp32: +27096 +1.820% GENERIC nrf: -212 -0.121% pca10040 rp2: +9904 +2.051% PICO samd: +35332 +33.969% ADAFRUIT_ITSYBITSY_M4_EXPRESS The changes that dominate these numbers are: - bare-arm, minimal: use of new MICROPY_CONFIG_ROM_LEVEL_MINIMUM option and subsequent disabling of remaining optional features - unix, cc3200, nrf: general code size reductions of the core - stm32: performance improvements, addition of platform module - esp8266: enabling f-strings - esp32: use of -O2 instead of -Os - rp2: machine.I2S and other new hardware features - samd: filesystem support and other new hardware features Thanks to everyone who contributed to this release: Alan Dragomirecký, Alexey Shvetsov, Andrew Leech, Andrew Scheller, Antoine Aubert, Boris Vinogradov, Chris Boudacoff, Chris Fiege, Christian Decker, Damien George, Daniel Gorny, Dave Hylands, David Michieli, Emilie Feral, Frédéric Pierson, gibbonsc, Henk Vergonet, iabdalkader, Ihor Nehrutsa, Jan Hrudka, Jan Staal, jc_.kim, Jim Mussared, Jonathan Hogg, Laurens Valk, leo chung, Lorenzo Cappelletti, Magnus von Wachenfeldt, Matt Trentini, Matt van de Werken, Maureen Helm, Michael Bentley, Michael Buesch, Mike Causer, Mike Teachman, Mike Wadsten, Ned Konz, NitiKaur, oli, patrick, Patrick Van Oosterwijck, Peter Boin, Peter Hinch, Peter van der Burg, Philipp Ebensberger, Pooya Moradi, retsyo, robert-hh, roland van straten, Scott Armitage, Sebastian Wicki, Seon Rozenblum, Sergei Silnov, Simon Baatz, Stewart Bonnick, stijn, Tobias Thyrrestrup, Tomas Vanek, YoungJoon Chun. What follows is a detailed list of changes, generated from the git commit history, and organised into sections. Main components =============== all: - remove MICROPY_OPT_CACHE_MAP_LOOKUP_IN_BYTECODE - update Python formatting to latest Black version 21.12b0 - remove support for FROZEN_DIR and FROZEN_MPY_DIR py core: - parse: simplify parse nodes representing a list - emitnative: ensure load_subscr does not clobber existing REG_RET - mpconfig.h: define initial templates for "feature levels" - vm: add a fast path for LOAD_ATTR on instance types - map: add an optional cache of (map+index) to speed up map lookups - builtinimport: forward all debug printing to MICROPY_DEBUG_PRINTER - add wrapper macros so hot VM functions can go in fast code location - runtime: fix crash when exc __new__ doesn't return an exc instance - mpconfig.h: define the "extra" feature level - mpconfig.h: revert MICROPY_REPL_INFO to disabled at all levels - gc: add hook to run code during time consuming GC operations - showbc: print unary-op string when dumping bytecode - modsys: replace non-ASCII quote char with ASCII char - runtime: allow types to use both .attr and .locals_dict - lexer: support nested [] and {} characters within f-string params - objfun.h: remove obsolete comments about entries in extra_args - builtinimport: refactor module importing - showbc: fix printing of raw bytecode header on nanbox builds - modio: remove io.resource_stream function - only search frozen modules when '.frozen' is found in sys.path - mkrules.cmake: set frozen preprocessor defs early - runtime: allow initialising sys.path/argv with defaults - mpstate.h: only include sys.path/argv objects in state when enabled - mpz: fix bugs with bitwise of -0 by ensuring all 0's are positive - qstr: reset mpstate.qstr_last_chunk before raising an error - modbuiltins: add additional macro for extending builtins - mpconfig.h: define MICROPY_PY_USSL_FINALISER only if not defined extmod: - machine_i2c: make SoftI2C configurable via macro option - machine_spi: make SoftSPI configurable via macro option - modonewire: make _onewire module configurable via macro option - machine_pwm: factor out machine.PWM bindings to common code - move modnetwork and modusocket from stm32 to extmod - modnetwork: add STA_IF and AP_IF constants - modnetwork: add extended socket state - modusocket: add read/write stream methods to socket object - modnetwork: define network interfaces in port config files - network_cyw43: make consistent use of STA and AP constants - modnetwork: remove STM32 references - modnetwork: remove modnetwork socket u_state member - mpbthci.h: add mp_bluetooth_hci_uart_any prototype - nimble: add nimble CMake fragment file - add platform module - moduplatform: improve implementation for PC ports - vfs_posix_file: support MP_STREAM_POLL in vfs_posix_file_ioctl - modbluetooth: add connection interval to gap_connect - nimble: update to NimBLE v1.4 - nimble: remove workaround for OS_ENOMEM - uasyncio: fix gather returning exceptions from a cancelled task - uplatform: remove unused definitions - uplatform: use generic custom platform string - network_ninaw10: fix scan list order to match other NICs - modbluetooth: support gap_connect(None) to cancel a connection - modure: redirect regex debug printing to mp_printf - network_ninaw10: fix config of AP mode - network_ninaw10: disable active connections before connecting - network_ninaw10: make NIC state persistent - network_ninaw10: return -1 on timeout from recv/send - network_ninaw10: make recv/recvfrom interchangeable - moduplatform: detect xtensa arch - modusocket: allow setting timeout on unbound sockets - modusocket: initialise accepted socket state - network_ninaw10: use socket timeout preset in modusocket - modbluetooth: fix conditional compilation of ringbuf_put_uuid - modbluetooth: put declaration of connect_cancel in correct place shared: - libc/string0: don't include string.h, and provide __memcpy_chk - runtime/pyexec: cleanup EXEC_FLAG flag constants drivers: - ninaw10: add ublox Nina-W10 WiFi/BT module driver - lsm6dsox: add LSM6DSOX driver and examples - neopixel: avoid heap alloc in fill() - ninaw10: fix BSSID byte order, and add null byte to ESSID - ninaw10/nina_wifi_drv: fix DNS resolution mpy-cross: no changes specific to this component/port lib: - mynewt-nimble: switch to the MicroPython fork of NimBLE - asf4: point submodule to latest commit on circuitpython branch - update pico-sdk to 1.3.0 and tinyusb to 0.12.0 - stm32lib: update library for L4 v1.17.0, new G4, WL, and MMC fixes - stm32lib: update library for fix to F7 USB HS Support components ================== docs: - library/os.rst: clarify littlefs requirements for block erase - library/bluetooth.rst: update incorrect link to gatts_write - make.bat: change Windows output dir from '_build' to 'build' - library/machine.I2S.rst: specify that I2S.shift args are kw-only - esp32: explain ESP32 PWM modes, timers, and channels - rp2: add reference for PIO assembly instructions, and PIO tutorial - library/random.rst: document the random module - reference/mpremote.rst: add docs for mpremote - reference/manifest.rst: add docs for manifest.py files - library/stm.rst: document the stm module - esp32/tutorial: add an example of peripheral control via regs - rp2/general.rst: fix typo with missing spaces - library/framebuf.rst: adjust dimensions in example - library/rp2.rst: update function asm_pio_encode to add sideset_opt - reference/filesystem.rst: add detail on how to use littlefs fuse - rp2/quickref.rst: add section on PIO - library/sys.rst: add docs for sys.settrace - esp8266/tutorial: fix comments of FrameBuffer examples - library/uasyncio.rst: detail exception behaviour in cancel/timeout - library/machine.Timer.rst: document 'id' as positional-only arg - library/machine.SPI.rst: add example SPI usage - library/machine.Timer.rst: document `period` and `callback` args - library/machine.Pin.rst: add Pin.ANALOG mode constant - remove trailing spaces and convert tabs to spaces - library/sys.rst: add note about '.frozen' as an entry in sys.path - differences: document details of new PEPs/features in Python 3.5+ - update copyright year range to include 2022 - esp32: update RMT quickref example to match latest code examples: no changes specific to this component/port tests: - perf_bench: use math.log instead of math.log2 - basics: add tests for type-checking subclassed exc instances - micropython/const.py: add comment about required config for test - cpydiff: clarify f-string diffs regarding concatenation - basics/int_big_cmp.py: add more tests for big-int comparison - extmod: skip uselect_poll_udp when poll() is not available tools: - autobuild: add auto build for GENERIC_C3_USB - ci.sh: use IDF v4.4 as part of esp32 CI and build GENERIC_S3 - autobuild: add the MIMXRT1010_EVK board to autobuild - ci.sh: use a specific ESP IDF v4.4 commit - autobuild: add script to generate website board metadata - dfu.py: make tool work with python3 when parsing DFU files - autobuild: automatically build all mimxrt, rp2 and samd boards - autobuild: automatically build all stm32 boards - mpremote: implement seek and flush in ioctl method - autobuild: automatically build all esp32 boards - upip.py: support == to specify exact package version - makemanifest.py: make str conversion compatible with Python 2 - makemanifest.py: merge make-frozen.py - mpremote: add mkdir and rmdir to RemoteFS - mpremote: add help command - mpremote: add link to mpremote docs URL in help message - upip.py: skip '.frozen' entry in sys.path for install path - autobuild: build esp8266 OTA image with GENERIC_1M board - ci.sh: upgrade Zephyr docker image to v0.21.0 - ci.sh: build zephyr nucleo_wb55rg to test zephyr bluetooth build CI: - workflows: use Python 3.8 for macos workflow - workflows: add new workflow to build ports download metadata The ports ========= all ports: - add board.json for all boards - add images, features and urls to board.json - add '.frozen' as the first entry in sys.path - move '.frozen' to second entry in sys.path bare-arm port: - mpconfigport.h: use MICROPY_CONFIG_ROM_LEVEL_MINIMUM - mpconfigport.h: disable remaining optional features cc3200 port: no changes specific to this component/port esp8266 port: - boards/GENERIC: enable f-strings - extract qstr from object when comparing keys in config() - etshal.h: remove unneeded function declarations - allow building a board to any dest directory esp32 port: - boards: add new FeatherS2-Neo board definition - machine_timer: use tx_update member for IDF 4.4 and above - add support for ESP32-S3 SoCs - boards: add new GENERIC_S3 board definition - machine_hw_spi: fix hardware SPI DMA channels for S2/S3 - boards: add board definition for ESP32-S2-WROVER module - boards: add LOLIN_S2_MINI ESP32-S2 board - machine_pwm: add support for all PWM timers and channels - README: updated readme with req IDF vers for ESP32-S2, C3 and S3 - usb: add USB host connection detection for CDC serial output - machine_pin: block out IO16 and IO17 when using SPIRAM on ESP32 - mpthreadport: fix TCB cleanup function so thread_mutex is ready - main: add option for a board to hook code into startup sequence - split out WLAN code from modnetwork.c to network_wlan.c - enable optimisations and move code to iRAM to boost performance - usb: improve speed of USB CDC output - add specific deploy_s2.md instructions for esp32-s2 - boards/LOLIN_S2_MINI: add image to board.json - boards: update board and deploy metadata for UM_xxx boards - usb: further improve speed of USB CDC output - boards/LOLIN_S2_PICO: add LOLIN_S2_PICO board definition files - boards/ESP32_S2_WROVER: link to specific deploy_s2 instructions - support building with latest IDF v5 - in machine_i2s, send null samples in underflow situations - in machine_i2s, make object reference arrays root pointers - add SDCard support for S3, and a GENERIC_S3_SPIRAM board - boards/GENERIC_S3: enable BLE on ESP32 S3 - machine_pwm: implement duty_u16() and duty_ns() PWM methods - extract qstr from object when comparing keys in config() - machine_pin: make GPIO 26 usable for S2,S3 if SPIRAM not config'd - machine_hw_spi: fix SPI default pins reordering on ESP32-S2/S3 - machine_hw_spi: set proper default SPI(id=1) pins on S2,S3 and C3 - machine_hw_spi: set proper default SPI(id=2) pins on S2 and S3 - boards: remove SPI pin defaults from GENERIC S2/S3 boards - modnetwork: synchronize WiFi AUTH_xxx constants with IDF values - machine_pwm: keep duty constant when changing frequency - machine_bitstream: replace bit-bang code with RMT-based driver - machine_i2s: add support for ESP-IDF 4.4 - machine_bitstream: fix signal duplication on output pins - esp32: enable platform module with IDF version - boards/GENERIC_D2WD: build with -Os optimisation - esp32_rmt: install RMT driver on core 1 - machine_bitstream: reinstate bitstream bit-bang implementation javascript port: no changes specific to this component/port mimxrt port: - sdcard: implement SDCard driver - machine_bitstream: add bitstream function to machine module - rework flash configuration - sdram: add SDRAM support - eth: add LAN support and integrate the network module - modmachine: implement machine.WDT() and machine.reset_cause() - boards: fix the D14/D15 pin assignment of MIMXRT1050/60/64_EVK - hal: remove duplicate definitions from flexspi_hyper_flash.h - dma_channel: fix the DMA channel management - fix cycle counter for time.ticks_cpu() and machine.bitstream() - add dht_readinto() to the mimxrt module, and freeze dht.py - extend the help() message and README.md - mpconfigport.h: enable f-strings - modmachine: implement soft_reset() and unique_id() functions - boards/make-pins.py: allow empty lines and comments in pins.csv - optimize the runtime speed - enable the platform module - boards: add the Seeed ARCH MIX board - boards: update the board.json files and add deploy_xx.md files - fix mp_hal_quiet_timing_enter()/exit() so timer still runs - support PWM using the FLEXPWM and QTMR modules - define UART 0 on MIMXRT boards - support selection of PHY type and address - re-enable eth checksum creation by HW - fix a tiny unnoticed bug in sdcard.c - add a driver for the DP83848 PHY device - refactor the reading of the machine id - enable ticks_cpu at boot time for NDEBUG builds only - use -Og instead of -O0 for DEBUG builds - tidy up the board flash related files - hal: allow readSampleClkSrc to be configured by a board - enable MICROPY_PY_USSL_FINALISER minimal port: - mpconfigport.h: use MICROPY_CONFIG_ROM_LEVEL_MINIMUM - Makefile: don't force a 32-bit build - mpconfigport.h: disable features that are not needed nrf port: - Makefile: improve Black Magic Probe commands - main: use VFS helper function to mount fs and chdir pic16bit port: no changes specific to this component/port powerpc port: no changes specific to this component/port qemu-arm port: no changes specific to this component/port rp2 port: - mpconfigport.h: enable heapq module - add support for bluetooth module using NimBLE - add framework for networking - mpconfigport.h: use the "extra" feature level - enable optimisations (comp goto, map cache, fast attr) - machine_i2s: add I2S protocol support - add support for Nina-W10 WiFi/BT module - boards: add support for Arduino Nano RP2040 - machine_bitstream: implement the machine.bitstream driver - boards: add neopixel.py to manifest.py - rp2_pio: support exec with sideset - boards/PIMORONI_PICOLIPO_16MB: fix 16MB flash size - boards: add PYBSTICK26 RP2040 board definition - machine_uart: handle and clear UART RX timeout IRQ - boards/ARDUINO_NANO_RP2040_CONNECT: set default I2C pins - machine_pwm: fix PWM frequency setting - machine_pwm: keep duty value when changing the frequency - add support for DHT11 and DHT22 sensors - CMakeLists.txt: allow a board to override PICO_BOARD - boards/GARATRONIC_PYBSTICK26_RP2040: use correct pico-sdk board cfg samd port: - integrate latest asf4, add help, more time funcs and uPy features - samd_soc: allow a board to configure the low-level MCU config - add internal flash block device, filesystem and uos support - add Pin and LED classes, and machine.unique_id - boards/ADAFRUIT_FEATHER_M0_EXPRESS: update for flash and pins - boards/ADAFRUIT_ITSYBITSY_M4_EXPRESS: update for flash and pins - boards/MINISAM_M4: update for flash and pins - boards/ADAFRUIT_TRINKET_M0: update for flash and pins - boards/SAMD21_XPLAINED_PRO: update for flash and pins - boards/SEEED_WIO_TERMINAL: add new board definition - boards/SEEED_XIAO: add new board definition - README.md: update README to reflect new features and boards stm32 port: - pin: enable GPIO clock of pin if it's constructed without init - main: don't unconditionally enable GPIO A,B,C,D clocks - boards/VCC_GND_H743VI: add board definition for VCC_GND_H743VI - boards/OLIMEX_E407: add Ethernet RMII support - boards/LEGO_HUB_NO6: remove user paths from cc2564 init file - boards: remove trailing spaces, and add newline at end of file - add basic support for STM32H750 - add support for H7A3(Q)/H7B3(Q), and STM32H73B3I_DK board defn - suggest putting code in main.py not boot.py - boards/make-pins.py: allow a CPU pin to be hidden - boards/make-pins.py: allow empty lines and comments in pins.csv - dma: add functions for external users of DMA to enable clock - enable LOAD_ATTR fast path, and map lookup caching on >M0 - boards: add OLIMEX H407 board definition - enable platform module - extended flash filesystem space to 512K on H743 boards - boards/NUCLEO_H743ZI: enable VfsLfs2 on NUCLEO_H743ZI(2) boards - boards: add PF11-BOOT0 to stm32f091_af.csv - machine_i2c: use hardware I2C for STM32H7 - sdram: enforce gcc opt, and use volatile and DSB in sdram_test - usbd_cdc_interface: allow a board to hook into USBD CDC RX events - mpbthciport: allow a board to hook BT HCI poll functions - pendsv: allow a board to add entries for pendsv_schedule_dispatch - boards: add images to board.json for Adafruit and VCC_GND boards - uart: fix race conditions and clearing status in IRQ handler - mpconfigport.h: use the "extra" feature level - in machine_i2s, send null samples in underflow situations - in machine_i2s, make object reference arrays root pointers - led: support an extra 2 LEDs in board configuration - boards/MIKROE_CLICKER2_STM32: add more detail to board.json - boards: add new board MikroElektronika Quail, and F427 support - main: run optional frozen module at boot - sdio: don't explicitly disable DMA2 on deinit of SDIO - dma: make DMA2_Stream3 exclusive to SDIO when CYW43 enabled - boards: build NUCLEO_WB55 and STM32F769DISC without mboot enabled - boards: add PYBSTICK26 F411 board definition - boards/NADHAT_PYBF405: rename board to GARATRONIC_NADHAT_F405 - usb: use a table of allowed values to simplify usb_mode get/set - boards/NUCLEO_WB55: update rfcore_firmwre for new WS - flashbdev: support generic flash storage config via link symbols - boards: convert F413,F439,H743,L4xx,WB55 to new flash FS config - add support for F479 MCUs - include HAL MMC code in F4 builds - boards/make-pins.py: use cpu pins to define static alt-fun macros - boards/NUCLEO_WB55: fix LED ordering - boards/LEGO_HUB_NO6: set filesystem label as HUB_NO6 - boards: remove stray '+' characters at start of lines in ld files - boards: remove unused MICROPY_HW_ENABLE_TIMER config - boards: enable MICROPY_HW_ENABLE_SERVO on various boards - update L4 code to build with latest stm32lib and L4 HAL 1.17.0 - main: call sdcard_init when only MICROPY_HW_ENABLE_MMCARD enabled - sdcard: support 8-bit wide SDIO bus - sdcard: add config option to force MM card capacity - factoryreset: init vfs flags before calling pyb_flash_init_vfs - qspi: fix typo in address comment - boards/make-pins.py: generate empty ADC table if needed - boards/OLIMEX_H407: fix typo in OLIMEX H407 board.json - network_wiznet5k: fix build error with wiznet5k and lwip enabled - enable MICROPY_PY_USSL_FINALISER teensy port: - switch to use manifest.py instead of FROZEN_DIR unix port: - enable LOAD_ATTR fast path, and map lookup caching - modusocket: support MP_STREAM_POLL in unix socket_ioctl - modos: add support for uos.urandom(n) - coverage: change remaining printf to mp_printf - Makefile: use -Og instead of -O0 for debug builds windows port: - README: remove unsupported Python instructions for Cygwin - mpconfigport.h: enable help and help("modules") - add support for build variants to windows port - run tests via Makefile - appveyor: build both standard and dev variants - appveyor: build mpy-cross only once for mingw-w64 - msvc: run qstr preprocessing phase in parallel zephyr port: - mphalport.h: remove unused and unimplemented C-level pin API - increase minimum CMake version to 3.20.0 - update include path to reboot.h - get UART console device from devicetree instead of Kconfig - use CONFIG_USB_DEVICE_STACK for conditional USB device support - upgrade to Zephyr v2.7.0 - modbluetooth_zephyr: provide dummy connect_cancel function
2022-02-15 13:36:26 -05:00
STATIC int parse_compile_execute(const void *source, mp_parse_input_kind_t input_kind, mp_uint_t exec_flags, pyexec_result_t *result) {
int ret = 0;
#if MICROPY_REPL_INFO
uint32_t start = 0;
#endif
#ifdef MICROPY_BOARD_BEFORE_PYTHON_EXEC
MICROPY_BOARD_BEFORE_PYTHON_EXEC(input_kind, exec_flags);
#endif
// by default a SystemExit exception returns 0
pyexec_system_exit = 0;
2014-03-12 21:06:26 -04:00
nlr_buf_t nlr;
nlr.ret_val = NULL;
2014-03-12 21:06:26 -04:00
if (nlr_push(&nlr) == 0) {
mp_obj_t module_fun;
#if CIRCUITPY_ATEXIT
if (!(exec_flags & EXEC_FLAG_SOURCE_IS_ATEXIT))
2023-08-14 00:47:22 -04:00
#endif
{
#if MICROPY_MODULE_FROZEN_MPY
if (exec_flags & EXEC_FLAG_SOURCE_IS_RAW_CODE) {
// source is a raw_code object, create the function
2023-08-03 23:29:00 -04:00
const mp_frozen_module_t *frozen = source;
mp_module_context_t *ctx = m_new_obj(mp_module_context_t);
ctx->module.globals = mp_globals_get();
2023-08-14 00:47:22 -04:00
ctx->constants = frozen->constants;
2023-08-03 23:29:00 -04:00
module_fun = mp_make_function_from_raw_code(frozen->rc, ctx, NULL);
} else
2023-08-03 23:29:00 -04:00
#endif
{
#if MICROPY_ENABLE_COMPILER
mp_lexer_t *lex;
if (exec_flags & EXEC_FLAG_SOURCE_IS_VSTR) {
const vstr_t *vstr = source;
lex = mp_lexer_new_from_str_len(MP_QSTR__lt_stdin_gt_, vstr->buf, vstr->len, 0);
} else if (exec_flags & EXEC_FLAG_SOURCE_IS_READER) {
lex = mp_lexer_new(MP_QSTR__lt_stdin_gt_, *(mp_reader_t *)source);
} else if (exec_flags & EXEC_FLAG_SOURCE_IS_FILENAME) {
lex = mp_lexer_new_from_file(source);
} else {
lex = (mp_lexer_t *)source;
}
// source is a lexer, parse and compile the script
qstr source_name = lex->source_name;
mp_parse_tree_t parse_tree = mp_parse(lex, input_kind);
module_fun = mp_compile(&parse_tree, source_name, exec_flags & EXEC_FLAG_IS_REPL);
#else
mp_raise_msg(&mp_type_RuntimeError, MP_ERROR_TEXT("script compilation not supported"));
#endif
}
// If the code was loaded from a file, collect any garbage before running.
if (input_kind == MP_PARSE_FILE_INPUT) {
gc_collect();
}
2018-06-28 13:38:57 -04:00
}
// execute code
mp_hal_set_interrupt_char(CHAR_CTRL_C); // allow ctrl-C to interrupt us
#if MICROPY_REPL_INFO
start = mp_hal_ticks_ms();
#endif
#if CIRCUITPY_ATEXIT
if (exec_flags & EXEC_FLAG_SOURCE_IS_ATEXIT) {
atexit_callback_t *callback = (atexit_callback_t *)source;
mp_call_function_n_kw(callback->func, callback->n_pos, callback->n_kw, callback->args);
} else
#endif
{
mp_call_function_0(module_fun);
}
mp_hal_set_interrupt_char(-1); // disable interrupt
mp_handle_pending(true); // handle any pending exceptions (and any callbacks)
2014-03-12 21:06:26 -04:00
nlr_pop();
ret = 0;
if (exec_flags & EXEC_FLAG_PRINT_EOF) {
mp_hal_stdout_tx_strn("\x04", 1);
}
2014-03-12 21:06:26 -04:00
} else {
// uncaught exception
mp_hal_set_interrupt_char(-1); // disable interrupt
mp_handle_pending(false); // clear any pending exceptions (and run any callbacks)
lib/utils/pyexec: Add stdin-reader on raw REPL with flow control. Background: the friendly/normal REPL is intended for human use whereas the raw REPL is for computer use/automation. Raw REPL is used for things like pyboard.py script_to_run.py. The normal REPL has built-in flow control because it echos back the characters. That's not so with raw REPL and flow control is just implemented by rate limiting the amount of data that goes in. Currently it's fixed at 256 byte chunks every 10ms. This is sometimes too fast for slow MCUs or systems with small stdin buffers. It's also too slow for a lot of higher-end MCUs, ie it could be a lot faster. This commit adds a new raw REPL mode which includes flow control: the device will echo back a character after a certain number of bytes are sent to the host, and the host can use this to regulate the data going out to the device. The amount of characters is controlled by the device and sent to the host before communication starts. This flow control allows getting the maximum speed out of a serial link, regardless of the link or the device at the other end. Also, this new raw REPL mode parses and compiles the incoming data as it comes in. It does this by creating a "stdin reader" object which is then passed to the lexer. The lexer requests bytes from this "stdin reader" which retrieves bytes from the host, and does flow control. What this means is that no memory is used to store the script (in the existing raw REPL mode the device needs a big buffer to read in the script before it can pass it on to the lexer/parser/compiler). The only memory needed on the device is enough to parse and compile. Finally, it would be possible to extend this new raw REPL to allow bytecode (.mpy files) to be sent as well as text mode scripts (but that's not done in this commit). Some results follow. The test was to send a large 33k script that contains mostly comments and then prints out the heap, run via pyboard.py large.py. On PYBD-SF6, prior to this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 34464, free: 357728 No. of 1-blocks: 12, 2-blocks: 2, max blk sz: 2075, max free sz: 22345 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=B........h==h=========================================== 00800: ================================================================ 00c00: ================================================================ 01000: ================================================================ 01400: ================================================================ 01800: ================================================================ 01c00: ================================================================ 02000: ================================================================ 02400: ================================================================ 02800: ================================================================ 02c00: ================================================================ 03000: ================================================================ 03400: ================================================================ 03800: ================================================================ 03c00: ================================================================ 04000: ================================================================ 04400: ================================================================ 04800: ================================================================ 04c00: ================================================================ 05000: ================================================================ 05400: ================================================================ 05800: ================================================================ 05c00: ================================================================ 06000: ================================================================ 06400: ================================================================ 06800: ================================================================ 06c00: ================================================================ 07000: ================================================================ 07400: ================================================================ 07800: ================================================================ 07c00: ================================================================ 08000: ================================================================ 08400: ===============================================.....h==......... (349 lines all free) (the big blob of used memory is the large script). Same but with this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 1296, free: 390896 No. of 1-blocks: 12, 2-blocks: 3, max blk sz: 40, max free sz: 24420 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=h=B......h==.....h==.................................... (381 lines all free) The only thing in RAM is the compiled script (and some other unrelated items). Time to download before this PR: 1438ms, data rate: 230,799 bits/sec. Time to download with this PR: 119ms, data rate: 2,788,991 bits/sec. So it's more than 10 times faster, and uses significantly less RAM. Results are similar on other boards. On an stm32 board that connects via UART only at 115200 baud, the data rate goes from 80kbit/sec to 113kbit/sec, so gets close to saturating the UART link without loss of data. The new raw REPL mode also supports a single ctrl-C to break out of this flow-control mode, so that a ctrl-C can always get back to a known state. It's also backwards compatible with the original raw REPL mode, which is still supported with the same sequence of commands. The new raw REPL mode is activated by ctrl-E, which gives an error on devices that do not support the new mode. Signed-off-by: Damien George <damien@micropython.org>
2020-10-05 21:11:10 -04:00
if (exec_flags & EXEC_FLAG_SOURCE_IS_READER) {
const mp_reader_t *reader = source;
reader->close(reader->data);
}
// print EOF after normal output
if (exec_flags & EXEC_FLAG_PRINT_EOF) {
mp_hal_stdout_tx_strn("\x04", 1);
}
// check for SystemExit
// nlr.ret_val is an exception object.
mp_obj_t exception_obj = (mp_obj_t)nlr.ret_val;
if (mp_obj_is_subclass_fast(MP_OBJ_FROM_PTR(mp_obj_get_type(exception_obj)), MP_OBJ_FROM_PTR(&mp_type_SystemExit))) {
// at the moment, the value of SystemExit is unused
ret = pyexec_system_exit;
2021-03-15 09:57:36 -04:00
#if CIRCUITPY_ALARM
} else if (mp_obj_is_subclass_fast(MP_OBJ_FROM_PTR(mp_obj_get_type(exception_obj)), MP_OBJ_FROM_PTR(&mp_type_DeepSleepRequest))) {
2020-12-01 20:01:14 -05:00
ret = PYEXEC_DEEP_SLEEP;
2021-03-15 09:57:36 -04:00
#endif
} else if (exception_obj == MP_OBJ_FROM_PTR(&MP_STATE_VM(mp_reload_exception))) {
ret = PYEXEC_RELOAD;
} else {
mp_obj_print_exception(&mp_plat_print, exception_obj);
ret = PYEXEC_EXCEPTION;
}
}
if (result != NULL) {
result->return_code = ret;
#if CIRCUITPY_ALARM
// Don't set the exception object if we exited for deep sleep.
if (ret != 0 && ret != PYEXEC_DEEP_SLEEP) {
#else
if (ret != 0) {
#endif
mp_obj_t return_value = (mp_obj_t)nlr.ret_val;
result->exception = return_value;
result->exception_line = -1;
if (mp_obj_is_exception_instance(return_value)) {
size_t n, *values;
mp_obj_exception_get_traceback(return_value, &n, &values);
if (values != NULL) {
result->exception_line = values[1];
result->exception_filename[sizeof(result->exception_filename) - 1] = '\0';
strncpy(result->exception_filename, qstr_str(values[0]), sizeof(result->exception_filename) - 1);
}
}
}
2014-03-12 21:06:26 -04:00
}
#if MICROPY_REPL_INFO
2014-03-12 21:06:26 -04:00
// display debugging info if wanted
if ((exec_flags & EXEC_FLAG_ALLOW_DEBUGGING) && repl_display_debugging_info) {
mp_uint_t ticks = mp_hal_ticks_ms() - start; // TODO implement a function that does this properly
printf("took " UINT_FMT " ms\n", ticks);
2014-03-12 21:06:26 -04:00
// qstr info
{
size_t n_pool, n_qstr, n_str_data_bytes, n_total_bytes;
2014-03-12 21:06:26 -04:00
qstr_pool_info(&n_pool, &n_qstr, &n_str_data_bytes, &n_total_bytes);
printf("qstr:\n n_pool=" UINT_FMT "\n n_qstr=" UINT_FMT "\n "
2021-03-15 09:57:36 -04:00
"n_str_data_bytes=" UINT_FMT "\n n_total_bytes=" UINT_FMT "\n",
(unsigned)n_pool, (unsigned)n_qstr, (unsigned)n_str_data_bytes, (unsigned)n_total_bytes);
2014-03-12 21:06:26 -04:00
}
#if MICROPY_ENABLE_GC
// run collection and print GC info
gc_collect();
gc_dump_info();
#endif
2014-03-12 21:06:26 -04:00
}
#endif
2014-03-12 21:06:26 -04:00
if (exec_flags & EXEC_FLAG_PRINT_EOF) {
mp_hal_stdout_tx_strn("\x04", 1);
}
#ifdef MICROPY_BOARD_AFTER_PYTHON_EXEC
MICROPY_BOARD_AFTER_PYTHON_EXEC(input_kind, exec_flags, nlr.ret_val, &ret);
#endif
2014-03-12 21:06:26 -04:00
return ret;
}
#if MICROPY_ENABLE_COMPILER
lib/utils/pyexec: Add stdin-reader on raw REPL with flow control. Background: the friendly/normal REPL is intended for human use whereas the raw REPL is for computer use/automation. Raw REPL is used for things like pyboard.py script_to_run.py. The normal REPL has built-in flow control because it echos back the characters. That's not so with raw REPL and flow control is just implemented by rate limiting the amount of data that goes in. Currently it's fixed at 256 byte chunks every 10ms. This is sometimes too fast for slow MCUs or systems with small stdin buffers. It's also too slow for a lot of higher-end MCUs, ie it could be a lot faster. This commit adds a new raw REPL mode which includes flow control: the device will echo back a character after a certain number of bytes are sent to the host, and the host can use this to regulate the data going out to the device. The amount of characters is controlled by the device and sent to the host before communication starts. This flow control allows getting the maximum speed out of a serial link, regardless of the link or the device at the other end. Also, this new raw REPL mode parses and compiles the incoming data as it comes in. It does this by creating a "stdin reader" object which is then passed to the lexer. The lexer requests bytes from this "stdin reader" which retrieves bytes from the host, and does flow control. What this means is that no memory is used to store the script (in the existing raw REPL mode the device needs a big buffer to read in the script before it can pass it on to the lexer/parser/compiler). The only memory needed on the device is enough to parse and compile. Finally, it would be possible to extend this new raw REPL to allow bytecode (.mpy files) to be sent as well as text mode scripts (but that's not done in this commit). Some results follow. The test was to send a large 33k script that contains mostly comments and then prints out the heap, run via pyboard.py large.py. On PYBD-SF6, prior to this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 34464, free: 357728 No. of 1-blocks: 12, 2-blocks: 2, max blk sz: 2075, max free sz: 22345 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=B........h==h=========================================== 00800: ================================================================ 00c00: ================================================================ 01000: ================================================================ 01400: ================================================================ 01800: ================================================================ 01c00: ================================================================ 02000: ================================================================ 02400: ================================================================ 02800: ================================================================ 02c00: ================================================================ 03000: ================================================================ 03400: ================================================================ 03800: ================================================================ 03c00: ================================================================ 04000: ================================================================ 04400: ================================================================ 04800: ================================================================ 04c00: ================================================================ 05000: ================================================================ 05400: ================================================================ 05800: ================================================================ 05c00: ================================================================ 06000: ================================================================ 06400: ================================================================ 06800: ================================================================ 06c00: ================================================================ 07000: ================================================================ 07400: ================================================================ 07800: ================================================================ 07c00: ================================================================ 08000: ================================================================ 08400: ===============================================.....h==......... (349 lines all free) (the big blob of used memory is the large script). Same but with this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 1296, free: 390896 No. of 1-blocks: 12, 2-blocks: 3, max blk sz: 40, max free sz: 24420 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=h=B......h==.....h==.................................... (381 lines all free) The only thing in RAM is the compiled script (and some other unrelated items). Time to download before this PR: 1438ms, data rate: 230,799 bits/sec. Time to download with this PR: 119ms, data rate: 2,788,991 bits/sec. So it's more than 10 times faster, and uses significantly less RAM. Results are similar on other boards. On an stm32 board that connects via UART only at 115200 baud, the data rate goes from 80kbit/sec to 113kbit/sec, so gets close to saturating the UART link without loss of data. The new raw REPL mode also supports a single ctrl-C to break out of this flow-control mode, so that a ctrl-C can always get back to a known state. It's also backwards compatible with the original raw REPL mode, which is still supported with the same sequence of commands. The new raw REPL mode is activated by ctrl-E, which gives an error on devices that do not support the new mode. Signed-off-by: Damien George <damien@micropython.org>
2020-10-05 21:11:10 -04:00
// This can be configured by a port (and even configured to a function to be
// computed dynamically) to indicate the maximum number of bytes that can be
// held in the stdin buffer.
#ifndef MICROPY_REPL_STDIN_BUFFER_MAX
#define MICROPY_REPL_STDIN_BUFFER_MAX (256)
#endif
typedef struct _mp_reader_stdin_t {
bool eof;
uint16_t window_max;
uint16_t window_remain;
} mp_reader_stdin_t;
STATIC mp_uint_t mp_reader_stdin_readbyte(void *data) {
mp_reader_stdin_t *reader = (mp_reader_stdin_t *)data;
if (reader->eof) {
return MP_READER_EOF;
}
int c = mp_hal_stdin_rx_chr();
if (c == CHAR_CTRL_C || c == CHAR_CTRL_D) {
reader->eof = true;
mp_hal_stdout_tx_strn("\x04", 1); // indicate end to host
if (c == CHAR_CTRL_C) {
#if MICROPY_KBD_EXCEPTION
2021-08-02 02:30:48 -04:00
MP_STATE_VM(mp_kbd_exception).traceback->data = NULL;
lib/utils/pyexec: Add stdin-reader on raw REPL with flow control. Background: the friendly/normal REPL is intended for human use whereas the raw REPL is for computer use/automation. Raw REPL is used for things like pyboard.py script_to_run.py. The normal REPL has built-in flow control because it echos back the characters. That's not so with raw REPL and flow control is just implemented by rate limiting the amount of data that goes in. Currently it's fixed at 256 byte chunks every 10ms. This is sometimes too fast for slow MCUs or systems with small stdin buffers. It's also too slow for a lot of higher-end MCUs, ie it could be a lot faster. This commit adds a new raw REPL mode which includes flow control: the device will echo back a character after a certain number of bytes are sent to the host, and the host can use this to regulate the data going out to the device. The amount of characters is controlled by the device and sent to the host before communication starts. This flow control allows getting the maximum speed out of a serial link, regardless of the link or the device at the other end. Also, this new raw REPL mode parses and compiles the incoming data as it comes in. It does this by creating a "stdin reader" object which is then passed to the lexer. The lexer requests bytes from this "stdin reader" which retrieves bytes from the host, and does flow control. What this means is that no memory is used to store the script (in the existing raw REPL mode the device needs a big buffer to read in the script before it can pass it on to the lexer/parser/compiler). The only memory needed on the device is enough to parse and compile. Finally, it would be possible to extend this new raw REPL to allow bytecode (.mpy files) to be sent as well as text mode scripts (but that's not done in this commit). Some results follow. The test was to send a large 33k script that contains mostly comments and then prints out the heap, run via pyboard.py large.py. On PYBD-SF6, prior to this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 34464, free: 357728 No. of 1-blocks: 12, 2-blocks: 2, max blk sz: 2075, max free sz: 22345 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=B........h==h=========================================== 00800: ================================================================ 00c00: ================================================================ 01000: ================================================================ 01400: ================================================================ 01800: ================================================================ 01c00: ================================================================ 02000: ================================================================ 02400: ================================================================ 02800: ================================================================ 02c00: ================================================================ 03000: ================================================================ 03400: ================================================================ 03800: ================================================================ 03c00: ================================================================ 04000: ================================================================ 04400: ================================================================ 04800: ================================================================ 04c00: ================================================================ 05000: ================================================================ 05400: ================================================================ 05800: ================================================================ 05c00: ================================================================ 06000: ================================================================ 06400: ================================================================ 06800: ================================================================ 06c00: ================================================================ 07000: ================================================================ 07400: ================================================================ 07800: ================================================================ 07c00: ================================================================ 08000: ================================================================ 08400: ===============================================.....h==......... (349 lines all free) (the big blob of used memory is the large script). Same but with this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 1296, free: 390896 No. of 1-blocks: 12, 2-blocks: 3, max blk sz: 40, max free sz: 24420 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=h=B......h==.....h==.................................... (381 lines all free) The only thing in RAM is the compiled script (and some other unrelated items). Time to download before this PR: 1438ms, data rate: 230,799 bits/sec. Time to download with this PR: 119ms, data rate: 2,788,991 bits/sec. So it's more than 10 times faster, and uses significantly less RAM. Results are similar on other boards. On an stm32 board that connects via UART only at 115200 baud, the data rate goes from 80kbit/sec to 113kbit/sec, so gets close to saturating the UART link without loss of data. The new raw REPL mode also supports a single ctrl-C to break out of this flow-control mode, so that a ctrl-C can always get back to a known state. It's also backwards compatible with the original raw REPL mode, which is still supported with the same sequence of commands. The new raw REPL mode is activated by ctrl-E, which gives an error on devices that do not support the new mode. Signed-off-by: Damien George <damien@micropython.org>
2020-10-05 21:11:10 -04:00
nlr_raise(MP_OBJ_FROM_PTR(&MP_STATE_VM(mp_kbd_exception)));
#else
mp_raise_type(&mp_type_KeyboardInterrupt);
#endif
} else {
return MP_READER_EOF;
}
}
if (--reader->window_remain == 0) {
mp_hal_stdout_tx_strn("\x01", 1); // indicate window available to host
reader->window_remain = reader->window_max;
}
return c;
}
STATIC void mp_reader_stdin_close(void *data) {
mp_reader_stdin_t *reader = (mp_reader_stdin_t *)data;
if (!reader->eof) {
reader->eof = true;
mp_hal_stdout_tx_strn("\x04", 1); // indicate end to host
for (;;) {
int c = mp_hal_stdin_rx_chr();
if (c == CHAR_CTRL_C || c == CHAR_CTRL_D) {
break;
}
}
}
}
STATIC void mp_reader_new_stdin(mp_reader_t *reader, mp_reader_stdin_t *reader_stdin, uint16_t buf_max) {
// Make flow-control window half the buffer size, and indicate to the host that 2x windows are
// free (sending the window size implicitly indicates that a window is free, and then the 0x01
// indicates that another window is free).
size_t window = buf_max / 2;
char reply[3] = { window & 0xff, window >> 8, 0x01 };
mp_hal_stdout_tx_strn(reply, sizeof(reply));
reader_stdin->eof = false;
reader_stdin->window_max = window;
reader_stdin->window_remain = window;
reader->data = reader_stdin;
reader->readbyte = mp_reader_stdin_readbyte;
reader->close = mp_reader_stdin_close;
}
STATIC int do_reader_stdin(int c) {
if (c != 'A') {
// Unsupported command.
mp_hal_stdout_tx_strn("R\x00", 2);
return 0;
}
// Indicate reception of command.
mp_hal_stdout_tx_strn("R\x01", 2);
mp_reader_t reader;
mp_reader_stdin_t reader_stdin;
mp_reader_new_stdin(&reader, &reader_stdin, MICROPY_REPL_STDIN_BUFFER_MAX);
int exec_flags = EXEC_FLAG_PRINT_EOF | EXEC_FLAG_SOURCE_IS_READER;
return parse_compile_execute(&reader, MP_PARSE_FILE_INPUT, exec_flags, NULL);
lib/utils/pyexec: Add stdin-reader on raw REPL with flow control. Background: the friendly/normal REPL is intended for human use whereas the raw REPL is for computer use/automation. Raw REPL is used for things like pyboard.py script_to_run.py. The normal REPL has built-in flow control because it echos back the characters. That's not so with raw REPL and flow control is just implemented by rate limiting the amount of data that goes in. Currently it's fixed at 256 byte chunks every 10ms. This is sometimes too fast for slow MCUs or systems with small stdin buffers. It's also too slow for a lot of higher-end MCUs, ie it could be a lot faster. This commit adds a new raw REPL mode which includes flow control: the device will echo back a character after a certain number of bytes are sent to the host, and the host can use this to regulate the data going out to the device. The amount of characters is controlled by the device and sent to the host before communication starts. This flow control allows getting the maximum speed out of a serial link, regardless of the link or the device at the other end. Also, this new raw REPL mode parses and compiles the incoming data as it comes in. It does this by creating a "stdin reader" object which is then passed to the lexer. The lexer requests bytes from this "stdin reader" which retrieves bytes from the host, and does flow control. What this means is that no memory is used to store the script (in the existing raw REPL mode the device needs a big buffer to read in the script before it can pass it on to the lexer/parser/compiler). The only memory needed on the device is enough to parse and compile. Finally, it would be possible to extend this new raw REPL to allow bytecode (.mpy files) to be sent as well as text mode scripts (but that's not done in this commit). Some results follow. The test was to send a large 33k script that contains mostly comments and then prints out the heap, run via pyboard.py large.py. On PYBD-SF6, prior to this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 34464, free: 357728 No. of 1-blocks: 12, 2-blocks: 2, max blk sz: 2075, max free sz: 22345 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=B........h==h=========================================== 00800: ================================================================ 00c00: ================================================================ 01000: ================================================================ 01400: ================================================================ 01800: ================================================================ 01c00: ================================================================ 02000: ================================================================ 02400: ================================================================ 02800: ================================================================ 02c00: ================================================================ 03000: ================================================================ 03400: ================================================================ 03800: ================================================================ 03c00: ================================================================ 04000: ================================================================ 04400: ================================================================ 04800: ================================================================ 04c00: ================================================================ 05000: ================================================================ 05400: ================================================================ 05800: ================================================================ 05c00: ================================================================ 06000: ================================================================ 06400: ================================================================ 06800: ================================================================ 06c00: ================================================================ 07000: ================================================================ 07400: ================================================================ 07800: ================================================================ 07c00: ================================================================ 08000: ================================================================ 08400: ===============================================.....h==......... (349 lines all free) (the big blob of used memory is the large script). Same but with this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 1296, free: 390896 No. of 1-blocks: 12, 2-blocks: 3, max blk sz: 40, max free sz: 24420 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=h=B......h==.....h==.................................... (381 lines all free) The only thing in RAM is the compiled script (and some other unrelated items). Time to download before this PR: 1438ms, data rate: 230,799 bits/sec. Time to download with this PR: 119ms, data rate: 2,788,991 bits/sec. So it's more than 10 times faster, and uses significantly less RAM. Results are similar on other boards. On an stm32 board that connects via UART only at 115200 baud, the data rate goes from 80kbit/sec to 113kbit/sec, so gets close to saturating the UART link without loss of data. The new raw REPL mode also supports a single ctrl-C to break out of this flow-control mode, so that a ctrl-C can always get back to a known state. It's also backwards compatible with the original raw REPL mode, which is still supported with the same sequence of commands. The new raw REPL mode is activated by ctrl-E, which gives an error on devices that do not support the new mode. Signed-off-by: Damien George <damien@micropython.org>
2020-10-05 21:11:10 -04:00
}
#if MICROPY_REPL_EVENT_DRIVEN
typedef struct _repl_t {
// This structure originally also held current REPL line,
// but it was moved to MP_STATE_VM(repl_line) as containing
// root pointer. Still keep structure in case more state
// will be added later.
2021-03-15 09:57:36 -04:00
// vstr_t line;
bool cont_line;
bool paste_mode;
} repl_t;
repl_t repl;
STATIC int pyexec_raw_repl_process_char(int c);
STATIC int pyexec_friendly_repl_process_char(int c);
void pyexec_event_repl_init(void) {
MP_STATE_VM(repl_line) = vstr_new(32);
repl.cont_line = false;
repl.paste_mode = false;
// no prompt before printing friendly REPL banner or entering raw REPL
readline_init(MP_STATE_VM(repl_line), "");
if (pyexec_mode_kind == PYEXEC_MODE_RAW_REPL) {
pyexec_raw_repl_process_char(CHAR_CTRL_A);
} else {
pyexec_friendly_repl_process_char(CHAR_CTRL_B);
}
}
STATIC int pyexec_raw_repl_process_char(int c) {
if (c == CHAR_CTRL_A) {
// reset raw REPL
lib/utils/pyexec: Add stdin-reader on raw REPL with flow control. Background: the friendly/normal REPL is intended for human use whereas the raw REPL is for computer use/automation. Raw REPL is used for things like pyboard.py script_to_run.py. The normal REPL has built-in flow control because it echos back the characters. That's not so with raw REPL and flow control is just implemented by rate limiting the amount of data that goes in. Currently it's fixed at 256 byte chunks every 10ms. This is sometimes too fast for slow MCUs or systems with small stdin buffers. It's also too slow for a lot of higher-end MCUs, ie it could be a lot faster. This commit adds a new raw REPL mode which includes flow control: the device will echo back a character after a certain number of bytes are sent to the host, and the host can use this to regulate the data going out to the device. The amount of characters is controlled by the device and sent to the host before communication starts. This flow control allows getting the maximum speed out of a serial link, regardless of the link or the device at the other end. Also, this new raw REPL mode parses and compiles the incoming data as it comes in. It does this by creating a "stdin reader" object which is then passed to the lexer. The lexer requests bytes from this "stdin reader" which retrieves bytes from the host, and does flow control. What this means is that no memory is used to store the script (in the existing raw REPL mode the device needs a big buffer to read in the script before it can pass it on to the lexer/parser/compiler). The only memory needed on the device is enough to parse and compile. Finally, it would be possible to extend this new raw REPL to allow bytecode (.mpy files) to be sent as well as text mode scripts (but that's not done in this commit). Some results follow. The test was to send a large 33k script that contains mostly comments and then prints out the heap, run via pyboard.py large.py. On PYBD-SF6, prior to this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 34464, free: 357728 No. of 1-blocks: 12, 2-blocks: 2, max blk sz: 2075, max free sz: 22345 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=B........h==h=========================================== 00800: ================================================================ 00c00: ================================================================ 01000: ================================================================ 01400: ================================================================ 01800: ================================================================ 01c00: ================================================================ 02000: ================================================================ 02400: ================================================================ 02800: ================================================================ 02c00: ================================================================ 03000: ================================================================ 03400: ================================================================ 03800: ================================================================ 03c00: ================================================================ 04000: ================================================================ 04400: ================================================================ 04800: ================================================================ 04c00: ================================================================ 05000: ================================================================ 05400: ================================================================ 05800: ================================================================ 05c00: ================================================================ 06000: ================================================================ 06400: ================================================================ 06800: ================================================================ 06c00: ================================================================ 07000: ================================================================ 07400: ================================================================ 07800: ================================================================ 07c00: ================================================================ 08000: ================================================================ 08400: ===============================================.....h==......... (349 lines all free) (the big blob of used memory is the large script). Same but with this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 1296, free: 390896 No. of 1-blocks: 12, 2-blocks: 3, max blk sz: 40, max free sz: 24420 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=h=B......h==.....h==.................................... (381 lines all free) The only thing in RAM is the compiled script (and some other unrelated items). Time to download before this PR: 1438ms, data rate: 230,799 bits/sec. Time to download with this PR: 119ms, data rate: 2,788,991 bits/sec. So it's more than 10 times faster, and uses significantly less RAM. Results are similar on other boards. On an stm32 board that connects via UART only at 115200 baud, the data rate goes from 80kbit/sec to 113kbit/sec, so gets close to saturating the UART link without loss of data. The new raw REPL mode also supports a single ctrl-C to break out of this flow-control mode, so that a ctrl-C can always get back to a known state. It's also backwards compatible with the original raw REPL mode, which is still supported with the same sequence of commands. The new raw REPL mode is activated by ctrl-E, which gives an error on devices that do not support the new mode. Signed-off-by: Damien George <damien@micropython.org>
2020-10-05 21:11:10 -04:00
if (vstr_len(MP_STATE_VM(repl_line)) == 2 && vstr_str(MP_STATE_VM(repl_line))[0] == CHAR_CTRL_E) {
int ret = do_reader_stdin(vstr_str(MP_STATE_VM(repl_line))[1]);
if (ret & PYEXEC_FORCED_EXIT) {
return ret;
}
goto reset;
}
2021-09-06 06:58:00 -04:00
mp_hal_stdout_tx_str("raw REPL; CTRL-B to exit\r\n");
goto reset;
} else if (c == CHAR_CTRL_B) {
// change to friendly REPL
pyexec_mode_kind = PYEXEC_MODE_FRIENDLY_REPL;
vstr_reset(MP_STATE_VM(repl_line));
repl.cont_line = false;
repl.paste_mode = false;
pyexec_friendly_repl_process_char(CHAR_CTRL_B);
return 0;
} else if (c == CHAR_CTRL_C) {
// clear line
vstr_reset(MP_STATE_VM(repl_line));
return 0;
} else if (c == CHAR_CTRL_D) {
// input finished
} else {
// let through any other raw 8-bit value
vstr_add_byte(MP_STATE_VM(repl_line), c);
return 0;
}
// indicate reception of command
mp_hal_stdout_tx_str("OK");
if (MP_STATE_VM(repl_line)->len == 0) {
// exit for a soft reset
mp_hal_stdout_tx_str("\r\n");
vstr_clear(MP_STATE_VM(repl_line));
return PYEXEC_FORCED_EXIT;
}
Merge tag 'v1.9.1' Fixes for stmhal USB mass storage, lwIP bindings and VFS regressions This release provides an important fix for the USB mass storage device in the stmhal port by implementing the SCSI SYNCHRONIZE_CACHE command, which is now require by some Operating Systems. There are also fixes for the lwIP bindings to improve non-blocking sockets and error codes. The VFS has some regressions fixed including the ability to statvfs the root. All changes are listed below. py core: - modbuiltins: add core-provided version of input() function - objstr: catch case of negative "maxsplit" arg to str.rsplit() - persistentcode: allow to compile with complex numbers disabled - objstr: allow to compile with obj-repr D, and unicode disabled - modsys: allow to compile with obj-repr D and PY_ATTRTUPLE disabled - provide mp_decode_uint_skip() to help reduce stack usage - makeqstrdefs.py: make script run correctly with Python 2.6 - objstringio: if created from immutable object, follow copy on write policy extmod: - modlwip: connect: for non-blocking mode, return EINPROGRESS - modlwip: fix error codes for duplicate calls to connect() - modlwip: accept: fix error code for non-blocking mode - vfs: allow to statvfs the root directory - vfs: allow "buffering" and "encoding" args to VFS's open() - modframebuf: fix signed/unsigned comparison pendantic warning lib: - libm: use isfinite instead of finitef, for C99 compatibility - utils/interrupt_char: remove support for KBD_EXCEPTION disabled tests: - basics/string_rsplit: add tests for negative "maxsplit" argument - float: convert "sys.exit()" to "raise SystemExit" - float/builtin_float_minmax: PEP8 fixes - basics: convert "sys.exit()" to "raise SystemExit" - convert remaining "sys.exit()" to "raise SystemExit" unix port: - convert to use core-provided version of built-in import() - Makefile: replace references to make with $(MAKE) windows port: - convert to use core-provided version of built-in import() qemu-arm port: - Makefile: adjust object-file lists to get correct dependencies - enable micropython.mem_*() functions to allow more tests stmhal port: - boards: enable DAC for NUCLEO_F767ZI board - add support for NUCLEO_F446RE board - pass USB handler as parameter to allow more than one USB handler - usb: use local USB handler variable in Start-of-Frame handler - usb: make state for USB device private to top-level USB driver - usbdev: for MSC implement SCSI SYNCHRONIZE_CACHE command - convert from using stmhal's input() to core provided version cc3200 port: - convert from using stmhal's input() to core provided version teensy port: - convert from using stmhal's input() to core provided version esp8266 port: - Makefile: replace references to make with $(MAKE) - Makefile: add clean-modules target - convert from using stmhal's input() to core provided version zephyr port: - modusocket: getaddrinfo: Fix mp_obj_len() usage - define MICROPY_PY_SYS_PLATFORM (to "zephyr") - machine_pin: use native Zephyr types for Zephyr API calls docs: - machine.Pin: remove out_value() method - machine.Pin: add on() and off() methods - esp8266: consistently replace Pin.high/low methods with .on/off - esp8266/quickref: polish Pin.on()/off() examples - network: move confusingly-named cc3200 Server class to its reference - uos: deconditionalize, remove minor port-specific details - uos: move cc3200 port legacy VFS mounting functions to its ref doc - machine: sort machine classes in logical order, not alphabetically - network: first step to describe standard network class interface examples: - embedding: use core-provided KeyboardInterrupt object
2017-06-20 13:56:05 -04:00
int ret = parse_compile_execute(MP_STATE_VM(repl_line), MP_PARSE_FILE_INPUT, EXEC_FLAG_PRINT_EOF | EXEC_FLAG_SOURCE_IS_VSTR, NULL);
if (ret & PYEXEC_FORCED_EXIT) {
return ret;
}
reset:
vstr_reset(MP_STATE_VM(repl_line));
mp_hal_stdout_tx_str(">");
return 0;
}
STATIC int pyexec_friendly_repl_process_char(int c) {
if (repl.paste_mode) {
if (c == CHAR_CTRL_C) {
// cancel everything
mp_hal_stdout_tx_str("\r\n");
goto input_restart;
} else if (c == CHAR_CTRL_D) {
// end of input
mp_hal_stdout_tx_str("\r\n");
int ret = parse_compile_execute(MP_STATE_VM(repl_line), MP_PARSE_FILE_INPUT, EXEC_FLAG_ALLOW_DEBUGGING | EXEC_FLAG_IS_REPL | EXEC_FLAG_SOURCE_IS_VSTR);
if (ret & PYEXEC_FORCED_EXIT) {
return ret;
}
goto input_restart;
} else {
// add char to buffer and echo
vstr_add_byte(MP_STATE_VM(repl_line), c);
if (c == '\r') {
mp_hal_stdout_tx_str("\r\n=== ");
} else {
char buf[1] = {c};
mp_hal_stdout_tx_strn(buf, 1);
}
return 0;
}
}
int ret = readline_process_char(c);
if (!repl.cont_line) {
if (ret == CHAR_CTRL_A) {
// change to raw REPL
pyexec_mode_kind = PYEXEC_MODE_RAW_REPL;
mp_hal_stdout_tx_str("\r\n");
pyexec_raw_repl_process_char(CHAR_CTRL_A);
return 0;
} else if (ret == CHAR_CTRL_B) {
// reset friendly REPL
mp_hal_stdout_tx_str("\r\n");
2018-01-02 21:25:41 -05:00
mp_hal_stdout_tx_str(MICROPY_FULL_VERSION_INFO);
mp_hal_stdout_tx_str("\r\n");
// mp_hal_stdout_tx_str("Type \"help()\" for more information.\r\n");
goto input_restart;
} else if (ret == CHAR_CTRL_C) {
// break
mp_hal_stdout_tx_str("\r\n");
goto input_restart;
} else if (ret == CHAR_CTRL_D) {
// exit for a soft reset
mp_hal_stdout_tx_str("\r\n");
vstr_clear(MP_STATE_VM(repl_line));
return PYEXEC_FORCED_EXIT;
} else if (ret == CHAR_CTRL_E) {
// paste mode
2021-09-06 06:58:00 -04:00
mp_hal_stdout_tx_str("\r\npaste mode; Ctrl-C to cancel, Ctrl-D to finish\r\n=== ");
vstr_reset(MP_STATE_VM(repl_line));
repl.paste_mode = true;
return 0;
}
if (ret < 0) {
return 0;
}
if (!mp_repl_continue_with_input(vstr_null_terminated_str(MP_STATE_VM(repl_line)))) {
goto exec;
}
vstr_add_byte(MP_STATE_VM(repl_line), '\n');
repl.cont_line = true;
readline_note_newline(mp_repl_get_ps2());
return 0;
} else {
if (ret == CHAR_CTRL_C) {
2021-03-15 09:57:36 -04:00
// cancel everything
mp_hal_stdout_tx_str("\r\n");
repl.cont_line = false;
goto input_restart;
} else if (ret == CHAR_CTRL_D) {
// stop entering compound statement
goto exec;
}
if (ret < 0) {
return 0;
}
if (mp_repl_continue_with_input(vstr_null_terminated_str(MP_STATE_VM(repl_line)))) {
vstr_add_byte(MP_STATE_VM(repl_line), '\n');
readline_note_newline(mp_repl_get_ps2());
return 0;
}
2021-03-15 09:57:36 -04:00
exec:;
int ret = parse_compile_execute(MP_STATE_VM(repl_line), MP_PARSE_SINGLE_INPUT, EXEC_FLAG_ALLOW_DEBUGGING | EXEC_FLAG_IS_REPL | EXEC_FLAG_SOURCE_IS_VSTR);
if (ret & PYEXEC_FORCED_EXIT) {
return ret;
}
2021-03-15 09:57:36 -04:00
input_restart:
vstr_reset(MP_STATE_VM(repl_line));
repl.cont_line = false;
repl.paste_mode = false;
readline_init(MP_STATE_VM(repl_line), mp_repl_get_ps1());
return 0;
}
}
uint8_t pyexec_repl_active;
int pyexec_event_repl_process_char(int c) {
pyexec_repl_active = 1;
int res;
if (pyexec_mode_kind == PYEXEC_MODE_RAW_REPL) {
res = pyexec_raw_repl_process_char(c);
} else {
res = pyexec_friendly_repl_process_char(c);
}
pyexec_repl_active = 0;
return res;
}
MP_REGISTER_ROOT_POINTER(vstr_t * repl_line);
#else // MICROPY_REPL_EVENT_DRIVEN
int pyexec_raw_repl(void) {
vstr_t line;
vstr_init(&line, 32);
raw_repl_reset:
2021-09-06 06:58:00 -04:00
mp_hal_stdout_tx_str("raw REPL; CTRL-B to exit\r\n");
for (;;) {
vstr_reset(&line);
mp_hal_stdout_tx_str(">");
for (;;) {
int c = mp_hal_stdin_rx_chr();
if (c == CHAR_CTRL_A) {
// reset raw REPL
lib/utils/pyexec: Add stdin-reader on raw REPL with flow control. Background: the friendly/normal REPL is intended for human use whereas the raw REPL is for computer use/automation. Raw REPL is used for things like pyboard.py script_to_run.py. The normal REPL has built-in flow control because it echos back the characters. That's not so with raw REPL and flow control is just implemented by rate limiting the amount of data that goes in. Currently it's fixed at 256 byte chunks every 10ms. This is sometimes too fast for slow MCUs or systems with small stdin buffers. It's also too slow for a lot of higher-end MCUs, ie it could be a lot faster. This commit adds a new raw REPL mode which includes flow control: the device will echo back a character after a certain number of bytes are sent to the host, and the host can use this to regulate the data going out to the device. The amount of characters is controlled by the device and sent to the host before communication starts. This flow control allows getting the maximum speed out of a serial link, regardless of the link or the device at the other end. Also, this new raw REPL mode parses and compiles the incoming data as it comes in. It does this by creating a "stdin reader" object which is then passed to the lexer. The lexer requests bytes from this "stdin reader" which retrieves bytes from the host, and does flow control. What this means is that no memory is used to store the script (in the existing raw REPL mode the device needs a big buffer to read in the script before it can pass it on to the lexer/parser/compiler). The only memory needed on the device is enough to parse and compile. Finally, it would be possible to extend this new raw REPL to allow bytecode (.mpy files) to be sent as well as text mode scripts (but that's not done in this commit). Some results follow. The test was to send a large 33k script that contains mostly comments and then prints out the heap, run via pyboard.py large.py. On PYBD-SF6, prior to this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 34464, free: 357728 No. of 1-blocks: 12, 2-blocks: 2, max blk sz: 2075, max free sz: 22345 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=B........h==h=========================================== 00800: ================================================================ 00c00: ================================================================ 01000: ================================================================ 01400: ================================================================ 01800: ================================================================ 01c00: ================================================================ 02000: ================================================================ 02400: ================================================================ 02800: ================================================================ 02c00: ================================================================ 03000: ================================================================ 03400: ================================================================ 03800: ================================================================ 03c00: ================================================================ 04000: ================================================================ 04400: ================================================================ 04800: ================================================================ 04c00: ================================================================ 05000: ================================================================ 05400: ================================================================ 05800: ================================================================ 05c00: ================================================================ 06000: ================================================================ 06400: ================================================================ 06800: ================================================================ 06c00: ================================================================ 07000: ================================================================ 07400: ================================================================ 07800: ================================================================ 07c00: ================================================================ 08000: ================================================================ 08400: ===============================================.....h==......... (349 lines all free) (the big blob of used memory is the large script). Same but with this PR: $ ./pyboard.py large.py stack: 524 out of 23552 GC: total: 392192, used: 1296, free: 390896 No. of 1-blocks: 12, 2-blocks: 3, max blk sz: 40, max free sz: 24420 GC memory layout; from 2001a3f0: 00000: h=hhhh=======================================hhBShShh==h=======h 00400: =====hh=h=B......h==.....h==.................................... (381 lines all free) The only thing in RAM is the compiled script (and some other unrelated items). Time to download before this PR: 1438ms, data rate: 230,799 bits/sec. Time to download with this PR: 119ms, data rate: 2,788,991 bits/sec. So it's more than 10 times faster, and uses significantly less RAM. Results are similar on other boards. On an stm32 board that connects via UART only at 115200 baud, the data rate goes from 80kbit/sec to 113kbit/sec, so gets close to saturating the UART link without loss of data. The new raw REPL mode also supports a single ctrl-C to break out of this flow-control mode, so that a ctrl-C can always get back to a known state. It's also backwards compatible with the original raw REPL mode, which is still supported with the same sequence of commands. The new raw REPL mode is activated by ctrl-E, which gives an error on devices that do not support the new mode. Signed-off-by: Damien George <damien@micropython.org>
2020-10-05 21:11:10 -04:00
if (vstr_len(&line) == 2 && vstr_str(&line)[0] == CHAR_CTRL_E) {
int ret = do_reader_stdin(vstr_str(&line)[1]);
if (ret & PYEXEC_FORCED_EXIT) {
return ret;
}
vstr_reset(&line);
mp_hal_stdout_tx_str(">");
continue;
}
goto raw_repl_reset;
} else if (c == CHAR_CTRL_B) {
// change to friendly REPL
mp_hal_stdout_tx_str("\r\n");
vstr_clear(&line);
pyexec_mode_kind = PYEXEC_MODE_FRIENDLY_REPL;
return 0;
} else if (c == CHAR_CTRL_C) {
// clear line
vstr_reset(&line);
} else if (c == CHAR_CTRL_D) {
// input finished
break;
} else {
// let through any other raw 8-bit value
vstr_add_byte(&line, c);
}
}
// indicate reception of command
mp_hal_stdout_tx_str("OK");
if (line.len == 0) {
// exit for a soft reset
mp_hal_stdout_tx_str("\r\n");
vstr_clear(&line);
return PYEXEC_FORCED_EXIT;
}
Merge tag 'v1.9.1' Fixes for stmhal USB mass storage, lwIP bindings and VFS regressions This release provides an important fix for the USB mass storage device in the stmhal port by implementing the SCSI SYNCHRONIZE_CACHE command, which is now require by some Operating Systems. There are also fixes for the lwIP bindings to improve non-blocking sockets and error codes. The VFS has some regressions fixed including the ability to statvfs the root. All changes are listed below. py core: - modbuiltins: add core-provided version of input() function - objstr: catch case of negative "maxsplit" arg to str.rsplit() - persistentcode: allow to compile with complex numbers disabled - objstr: allow to compile with obj-repr D, and unicode disabled - modsys: allow to compile with obj-repr D and PY_ATTRTUPLE disabled - provide mp_decode_uint_skip() to help reduce stack usage - makeqstrdefs.py: make script run correctly with Python 2.6 - objstringio: if created from immutable object, follow copy on write policy extmod: - modlwip: connect: for non-blocking mode, return EINPROGRESS - modlwip: fix error codes for duplicate calls to connect() - modlwip: accept: fix error code for non-blocking mode - vfs: allow to statvfs the root directory - vfs: allow "buffering" and "encoding" args to VFS's open() - modframebuf: fix signed/unsigned comparison pendantic warning lib: - libm: use isfinite instead of finitef, for C99 compatibility - utils/interrupt_char: remove support for KBD_EXCEPTION disabled tests: - basics/string_rsplit: add tests for negative "maxsplit" argument - float: convert "sys.exit()" to "raise SystemExit" - float/builtin_float_minmax: PEP8 fixes - basics: convert "sys.exit()" to "raise SystemExit" - convert remaining "sys.exit()" to "raise SystemExit" unix port: - convert to use core-provided version of built-in import() - Makefile: replace references to make with $(MAKE) windows port: - convert to use core-provided version of built-in import() qemu-arm port: - Makefile: adjust object-file lists to get correct dependencies - enable micropython.mem_*() functions to allow more tests stmhal port: - boards: enable DAC for NUCLEO_F767ZI board - add support for NUCLEO_F446RE board - pass USB handler as parameter to allow more than one USB handler - usb: use local USB handler variable in Start-of-Frame handler - usb: make state for USB device private to top-level USB driver - usbdev: for MSC implement SCSI SYNCHRONIZE_CACHE command - convert from using stmhal's input() to core provided version cc3200 port: - convert from using stmhal's input() to core provided version teensy port: - convert from using stmhal's input() to core provided version esp8266 port: - Makefile: replace references to make with $(MAKE) - Makefile: add clean-modules target - convert from using stmhal's input() to core provided version zephyr port: - modusocket: getaddrinfo: Fix mp_obj_len() usage - define MICROPY_PY_SYS_PLATFORM (to "zephyr") - machine_pin: use native Zephyr types for Zephyr API calls docs: - machine.Pin: remove out_value() method - machine.Pin: add on() and off() methods - esp8266: consistently replace Pin.high/low methods with .on/off - esp8266/quickref: polish Pin.on()/off() examples - network: move confusingly-named cc3200 Server class to its reference - uos: deconditionalize, remove minor port-specific details - uos: move cc3200 port legacy VFS mounting functions to its ref doc - machine: sort machine classes in logical order, not alphabetically - network: first step to describe standard network class interface examples: - embedding: use core-provided KeyboardInterrupt object
2017-06-20 13:56:05 -04:00
int ret = parse_compile_execute(&line, MP_PARSE_FILE_INPUT, EXEC_FLAG_PRINT_EOF | EXEC_FLAG_SOURCE_IS_VSTR, NULL);
if (ret & PYEXEC_FORCED_EXIT) {
return ret;
}
}
}
2014-03-24 08:23:37 -04:00
int pyexec_friendly_repl(void) {
vstr_t line;
vstr_init(&line, 32);
friendly_repl_reset:
2018-01-02 21:25:41 -05:00
mp_hal_stdout_tx_str("\r\n");
mp_hal_stdout_tx_str(MICROPY_FULL_VERSION_INFO);
mp_hal_stdout_tx_str("\r\n");
// mp_hal_stdout_tx_str("Type \"help()\" for more information.\r\n");
2014-03-12 21:06:26 -04:00
// to test ctrl-C
/*
{
uint32_t x[4] = {0x424242, 0xdeaddead, 0x242424, 0xdeadbeef};
for (;;) {
nlr_buf_t nlr;
printf("pyexec_repl: %p\n", x);
mp_hal_set_interrupt_char(CHAR_CTRL_C);
2014-03-12 21:06:26 -04:00
if (nlr_push(&nlr) == 0) {
for (;;) {
}
} else {
printf("break\n");
}
}
}
*/
for (;;) {
input_restart:
#if defined(MICROPY_HW_ENABLE_USB) && MICROPY_HW_ENABLE_USB
if (usb_vcp_is_enabled()) {
// If the user gets to here and interrupts are disabled then
// they'll never see the prompt, traceback etc. The USB REPL needs
// interrupts to be enabled or no transfers occur. So we try to
// do the user a favor and re-enable interrupts.
if (query_irq() == IRQ_STATE_DISABLED) {
enable_irq(IRQ_STATE_ENABLED);
mp_hal_stdout_tx_str("MPY: enabling IRQs\r\n");
}
}
#endif
// If the GC is locked at this point there is no way out except a reset,
// so force the GC to be unlocked to help the user debug what went wrong.
if (MP_STATE_THREAD(gc_lock_depth) != 0) {
MP_STATE_THREAD(gc_lock_depth) = 0;
}
2014-03-12 21:06:26 -04:00
vstr_reset(&line);
nlr_buf_t nlr;
nlr.ret_val = NULL;
int ret = 0;
if (nlr_push(&nlr) == 0) {
ret = readline(&line, ">>> ");
} else {
// Uncaught exception
mp_handle_pending(false); // clear any pending exceptions (and run any callbacks)
// Print exceptions but stay in the REPL. There are very few delayed
// exceptions. The WatchDogTimer can raise one though.
mp_hal_stdout_tx_str("\r\n");
mp_obj_print_exception(&mp_plat_print, MP_OBJ_FROM_PTR(nlr.ret_val));
}
mp_parse_input_kind_t parse_input_kind = MP_PARSE_SINGLE_INPUT;
2014-03-12 21:06:26 -04:00
if (ret == CHAR_CTRL_A) {
2014-03-24 08:23:37 -04:00
// change to raw REPL
mp_hal_stdout_tx_str("\r\n");
2014-03-24 08:23:37 -04:00
vstr_clear(&line);
pyexec_mode_kind = PYEXEC_MODE_RAW_REPL;
return 0;
} else if (ret == CHAR_CTRL_B) {
2014-03-24 08:23:37 -04:00
// reset friendly REPL
mp_hal_stdout_tx_str("\r\n");
2014-03-24 08:23:37 -04:00
goto friendly_repl_reset;
} else if (ret == CHAR_CTRL_C) {
2014-03-24 08:23:37 -04:00
// break
mp_hal_stdout_tx_str("\r\n");
2014-03-12 21:06:26 -04:00
continue;
} else if (ret == CHAR_CTRL_D) {
2014-03-24 08:23:37 -04:00
// exit for a soft reset
mp_hal_stdout_tx_str("\r\n");
2014-03-24 08:23:37 -04:00
vstr_clear(&line);
return PYEXEC_FORCED_EXIT;
} else if (ret == CHAR_CTRL_E) {
// paste mode
mp_hal_stdout_tx_str("\r\npaste mode; Ctrl-C to cancel, Ctrl-D to finish\r\n=== ");
vstr_reset(&line);
for (;;) {
char c = mp_hal_stdin_rx_chr();
if (c == CHAR_CTRL_C) {
// cancel everything
mp_hal_stdout_tx_str("\r\n");
goto input_restart;
} else if (c == CHAR_CTRL_D) {
// end of input
mp_hal_stdout_tx_str("\r\n");
break;
} else {
// add char to buffer and echo
vstr_add_byte(&line, c);
if (c == '\r') {
mp_hal_stdout_tx_str("\r\n=== ");
} else {
mp_hal_stdout_tx_strn(&c, 1);
}
}
}
parse_input_kind = MP_PARSE_FILE_INPUT;
2014-03-12 21:06:26 -04:00
} else if (vstr_len(&line) == 0) {
continue;
} else {
// got a line with non-zero length, see if it needs continuing
while (mp_repl_continue_with_input(vstr_null_terminated_str(&line))) {
vstr_add_byte(&line, '\n');
ret = readline(&line, mp_repl_get_ps2());
if (ret == CHAR_CTRL_C) {
// cancel everything
mp_hal_stdout_tx_str("\r\n");
goto input_restart;
} else if (ret == CHAR_CTRL_D) {
// stop entering compound statement
break;
}
2014-03-12 21:06:26 -04:00
}
}
Merge tag 'v1.9.1' Fixes for stmhal USB mass storage, lwIP bindings and VFS regressions This release provides an important fix for the USB mass storage device in the stmhal port by implementing the SCSI SYNCHRONIZE_CACHE command, which is now require by some Operating Systems. There are also fixes for the lwIP bindings to improve non-blocking sockets and error codes. The VFS has some regressions fixed including the ability to statvfs the root. All changes are listed below. py core: - modbuiltins: add core-provided version of input() function - objstr: catch case of negative "maxsplit" arg to str.rsplit() - persistentcode: allow to compile with complex numbers disabled - objstr: allow to compile with obj-repr D, and unicode disabled - modsys: allow to compile with obj-repr D and PY_ATTRTUPLE disabled - provide mp_decode_uint_skip() to help reduce stack usage - makeqstrdefs.py: make script run correctly with Python 2.6 - objstringio: if created from immutable object, follow copy on write policy extmod: - modlwip: connect: for non-blocking mode, return EINPROGRESS - modlwip: fix error codes for duplicate calls to connect() - modlwip: accept: fix error code for non-blocking mode - vfs: allow to statvfs the root directory - vfs: allow "buffering" and "encoding" args to VFS's open() - modframebuf: fix signed/unsigned comparison pendantic warning lib: - libm: use isfinite instead of finitef, for C99 compatibility - utils/interrupt_char: remove support for KBD_EXCEPTION disabled tests: - basics/string_rsplit: add tests for negative "maxsplit" argument - float: convert "sys.exit()" to "raise SystemExit" - float/builtin_float_minmax: PEP8 fixes - basics: convert "sys.exit()" to "raise SystemExit" - convert remaining "sys.exit()" to "raise SystemExit" unix port: - convert to use core-provided version of built-in import() - Makefile: replace references to make with $(MAKE) windows port: - convert to use core-provided version of built-in import() qemu-arm port: - Makefile: adjust object-file lists to get correct dependencies - enable micropython.mem_*() functions to allow more tests stmhal port: - boards: enable DAC for NUCLEO_F767ZI board - add support for NUCLEO_F446RE board - pass USB handler as parameter to allow more than one USB handler - usb: use local USB handler variable in Start-of-Frame handler - usb: make state for USB device private to top-level USB driver - usbdev: for MSC implement SCSI SYNCHRONIZE_CACHE command - convert from using stmhal's input() to core provided version cc3200 port: - convert from using stmhal's input() to core provided version teensy port: - convert from using stmhal's input() to core provided version esp8266 port: - Makefile: replace references to make with $(MAKE) - Makefile: add clean-modules target - convert from using stmhal's input() to core provided version zephyr port: - modusocket: getaddrinfo: Fix mp_obj_len() usage - define MICROPY_PY_SYS_PLATFORM (to "zephyr") - machine_pin: use native Zephyr types for Zephyr API calls docs: - machine.Pin: remove out_value() method - machine.Pin: add on() and off() methods - esp8266: consistently replace Pin.high/low methods with .on/off - esp8266/quickref: polish Pin.on()/off() examples - network: move confusingly-named cc3200 Server class to its reference - uos: deconditionalize, remove minor port-specific details - uos: move cc3200 port legacy VFS mounting functions to its ref doc - machine: sort machine classes in logical order, not alphabetically - network: first step to describe standard network class interface examples: - embedding: use core-provided KeyboardInterrupt object
2017-06-20 13:56:05 -04:00
ret = parse_compile_execute(&line, parse_input_kind, EXEC_FLAG_ALLOW_DEBUGGING | EXEC_FLAG_IS_REPL | EXEC_FLAG_SOURCE_IS_VSTR, NULL);
if (ret & PYEXEC_FORCED_EXIT) {
return ret;
}
2014-03-12 21:06:26 -04:00
}
}
#endif // MICROPY_REPL_EVENT_DRIVEN
#endif // MICROPY_ENABLE_COMPILER
Merge tag 'v1.9.1' Fixes for stmhal USB mass storage, lwIP bindings and VFS regressions This release provides an important fix for the USB mass storage device in the stmhal port by implementing the SCSI SYNCHRONIZE_CACHE command, which is now require by some Operating Systems. There are also fixes for the lwIP bindings to improve non-blocking sockets and error codes. The VFS has some regressions fixed including the ability to statvfs the root. All changes are listed below. py core: - modbuiltins: add core-provided version of input() function - objstr: catch case of negative "maxsplit" arg to str.rsplit() - persistentcode: allow to compile with complex numbers disabled - objstr: allow to compile with obj-repr D, and unicode disabled - modsys: allow to compile with obj-repr D and PY_ATTRTUPLE disabled - provide mp_decode_uint_skip() to help reduce stack usage - makeqstrdefs.py: make script run correctly with Python 2.6 - objstringio: if created from immutable object, follow copy on write policy extmod: - modlwip: connect: for non-blocking mode, return EINPROGRESS - modlwip: fix error codes for duplicate calls to connect() - modlwip: accept: fix error code for non-blocking mode - vfs: allow to statvfs the root directory - vfs: allow "buffering" and "encoding" args to VFS's open() - modframebuf: fix signed/unsigned comparison pendantic warning lib: - libm: use isfinite instead of finitef, for C99 compatibility - utils/interrupt_char: remove support for KBD_EXCEPTION disabled tests: - basics/string_rsplit: add tests for negative "maxsplit" argument - float: convert "sys.exit()" to "raise SystemExit" - float/builtin_float_minmax: PEP8 fixes - basics: convert "sys.exit()" to "raise SystemExit" - convert remaining "sys.exit()" to "raise SystemExit" unix port: - convert to use core-provided version of built-in import() - Makefile: replace references to make with $(MAKE) windows port: - convert to use core-provided version of built-in import() qemu-arm port: - Makefile: adjust object-file lists to get correct dependencies - enable micropython.mem_*() functions to allow more tests stmhal port: - boards: enable DAC for NUCLEO_F767ZI board - add support for NUCLEO_F446RE board - pass USB handler as parameter to allow more than one USB handler - usb: use local USB handler variable in Start-of-Frame handler - usb: make state for USB device private to top-level USB driver - usbdev: for MSC implement SCSI SYNCHRONIZE_CACHE command - convert from using stmhal's input() to core provided version cc3200 port: - convert from using stmhal's input() to core provided version teensy port: - convert from using stmhal's input() to core provided version esp8266 port: - Makefile: replace references to make with $(MAKE) - Makefile: add clean-modules target - convert from using stmhal's input() to core provided version zephyr port: - modusocket: getaddrinfo: Fix mp_obj_len() usage - define MICROPY_PY_SYS_PLATFORM (to "zephyr") - machine_pin: use native Zephyr types for Zephyr API calls docs: - machine.Pin: remove out_value() method - machine.Pin: add on() and off() methods - esp8266: consistently replace Pin.high/low methods with .on/off - esp8266/quickref: polish Pin.on()/off() examples - network: move confusingly-named cc3200 Server class to its reference - uos: deconditionalize, remove minor port-specific details - uos: move cc3200 port legacy VFS mounting functions to its ref doc - machine: sort machine classes in logical order, not alphabetically - network: first step to describe standard network class interface examples: - embedding: use core-provided KeyboardInterrupt object
2017-06-20 13:56:05 -04:00
int pyexec_file(const char *filename, pyexec_result_t *result) {
return parse_compile_execute(filename, MP_PARSE_FILE_INPUT, EXEC_FLAG_SOURCE_IS_FILENAME, result);
2014-03-12 21:06:26 -04:00
}
int pyexec_file_if_exists(const char *filename, pyexec_result_t *result) {
#if MICROPY_MODULE_FROZEN
if (mp_find_frozen_module(filename, NULL, NULL) == MP_IMPORT_STAT_FILE) {
return pyexec_frozen_module(filename, result);
}
#endif
if (mp_import_stat(filename) != MP_IMPORT_STAT_FILE) {
return 1; // success (no file is the same as an empty file executing without fail)
}
return pyexec_file(filename, result);
}
#if MICROPY_MODULE_FROZEN
int pyexec_frozen_module(const char *name, pyexec_result_t *result) {
void *frozen_data;
int frozen_type;
mp_find_frozen_module(name, &frozen_type, &frozen_data);
switch (frozen_type) {
#if MICROPY_MODULE_FROZEN_STR
case MP_FROZEN_STR:
return parse_compile_execute(frozen_data, MP_PARSE_FILE_INPUT, 0, result);
#endif
#if MICROPY_MODULE_FROZEN_MPY
case MP_FROZEN_MPY:
return parse_compile_execute(frozen_data, MP_PARSE_FILE_INPUT, EXEC_FLAG_SOURCE_IS_RAW_CODE, result);
#endif
default:
printf("could not find module '%s'\n", name);
return false;
}
}
#endif
#if CIRCUITPY_ATEXIT
int pyexec_exit_handler(const void *source, pyexec_result_t *result) {
return parse_compile_execute(source, MP_PARSE_FILE_INPUT, EXEC_FLAG_SOURCE_IS_ATEXIT, result);
}
#endif
#if MICROPY_REPL_INFO
2014-03-12 21:06:26 -04:00
mp_obj_t pyb_set_repl_info(mp_obj_t o_value) {
repl_display_debugging_info = mp_obj_get_int(o_value);
return mp_const_none;
}
MP_DEFINE_CONST_FUN_OBJ_1(pyb_set_repl_info_obj, pyb_set_repl_info);
#endif